[eErrorOutput] PIPE_BUF: 4096 [eErrorOutput] F_SETPIPE_SZ: 1048576 [eErrorOutput] F_GETPIPE_SZ 0: 1048576 PYTHONPATH: /usr/lib/enigma2/python DVB_API_VERSION 5 DVB_API_VERSION_MINOR 10 /dev/fb1: No such file or directory 01:00:26.9805 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 01:00:26.9864 [ ] base/e2avahi.cpp:313 avahi_watch_new [Avahi] avahi_watch_new(7 0x1) 01:00:26.9868 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 01:00:26.9891 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 01:00:26.9901 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 01:00:26.9909 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 01:00:26.9917 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 01:00:26.9920 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 01:00:26.9927 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 01:00:26.9932 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 01:00:26.9944 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 01:00:26.9946 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 01:00:26.9959 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 01:00:26.9964 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 01:00:26.9976 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 01:00:26.9978 [ ] base/e2avahi.cpp:279 avahi_client_callback [Avahi] client state: 2 01:00:27.0031 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 01:00:27.0066 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 01:00:27.0081 [ ] base/init.cpp:47 setRunlevel + (1) Background File Eraser 01:00:27.0104 [ ] base/init.cpp:47 setRunlevel + (5) Tuxtxt 01:00:27.0130 [ ] base/init.cpp:47 setRunlevel + (8) graphics acceleration manager 01:00:27.0157 [ ] base/init.cpp:47 setRunlevel + (9) Font Render Class 01:00:27.0196 [ ] gdi/font.cpp:190 fontRenderClass [FONT] initializing lib... 01:00:27.0541 [ ] gdi/font.cpp:198 fontRenderClass [FONT] loading fonts... 01:00:27.0543 [ ] gdi/font.cpp:203 fontRenderClass [FONT] Intializing font cache, using max. 4MB... 01:00:27.0598 [ ] base/init.cpp:47 setRunlevel + (9) gLCDDC 01:00:27.0660 [ ] gdi/lcd.cpp:141 eDBoxLCD found OLED display! 01:00:27.0662 [ ] gdi/lcd.cpp:36 setSize lcd buffer 0x7b0930 8448 bytes, stride 132 01:00:27.0663 [ ] gdi/glcddc.cpp:43 gLCDDC LCD resolution: 132 x 64 x 8 (stride: 132) 01:00:27.0673 [ ] base/init.cpp:47 setRunlevel + (9) GFBDC 01:00:27.0695 [ ] gdi/fb.cpp:83 fbClass 24300k total video mem 01:00:27.0696 [ ] gdi/fb.cpp:167 fbClass 24300k video mem 01:00:27.0742 [ ] gdi/fb.cpp:269 SetMode - double buffering available! 01:00:27.0959 [ ] gdi/gfbdc.cpp:277 setResolution resolution: 1280 x 720 x 32 (stride: 5120) 01:00:27.0961 [ ] gdi/gfbdc.cpp:281 setResolution 17100kB available for acceleration surfaces. 01:00:27.0964 [ ] base/init.cpp:47 setRunlevel + (10) gRC 01:00:27.0967 [ ] gdi/grc.cpp:42 gRC RC thread created successfully 01:00:27.0969 [ ] base/init.cpp:47 setRunlevel + (15) eWindowStyleManager 01:00:27.1001 [ ] base/init.cpp:47 setRunlevel + (20) AVSwitch Driver 01:00:27.1012 [ ] driver/avswitch.cpp:24 eAVSwitch couldnt open /dev/dbox/fp0 to monitor vcr scart slow blanking changed! 01:00:27.1014 [ ] base/init.cpp:47 setRunlevel + (20) misc options 01:00:27.1015 [ ] base/init.cpp:47 setRunlevel + (20) RC Input layer 01:00:27.1025 [ ] base/init.cpp:47 setRunlevel + (20) UHF Modulator 01:00:27.1032 [ ] driver/rfmod.cpp:27 eRFmod couldnt open /dev/rfmod0!!!! 01:00:27.1033 [ ] base/init.cpp:47 setRunlevel + (20) DVB-CI UI 01:00:27.1047 [ ] base/init.cpp:47 setRunlevel + (21) input device driver 01:00:27.1049 [ ] driver/rc.cpp:131 getDeviceName [eRCInputEventDriver] devicename=dreambox remote control (native) 01:00:27.1060 [ ] driver/rcinput.cpp:639 eRCDeviceInputDev Input device "dreambox remote control (native)" is a remotecontrol 01:00:27.1064 [ ] driver/rc.cpp:131 getDeviceName [eRCInputEventDriver] devicename=dreambox advanced remote control (native) 01:00:27.1065 [ ] driver/rcinput.cpp:639 eRCDeviceInputDev Input device "dreambox advanced remote control (native)" is a remotecontrol 01:00:27.1068 [ ] driver/rc.cpp:131 getDeviceName [eRCInputEventDriver] devicename=front panel 01:00:27.1069 [ ] driver/rcinput.cpp:639 eRCDeviceInputDev Input device "front panel" is a remotecontrol 01:00:27.1070 [ ] driver/rc.cpp:131 getDeviceName [eRCInputEventDriver] devicename=RC for hdmi_cec 01:00:27.1070 [ ] driver/rcinput.cpp:639 eRCDeviceInputDev Input device "RC for hdmi_cec" is a remotecontrol 01:00:27.1071 [ ] driver/rcinput.cpp:696 eInputDeviceInit Found 4 input devices. 01:00:27.1072 [ ] base/init.cpp:47 setRunlevel + (22) Hdmi CEC driver 01:00:27.4025 [ ] driver/hdmi_cec.cpp:262 getAddressInfo eHdmiCEC: detected physical address change: 1000 --> 1400 01:00:27.4026 < > driver/hdmi_cec.cpp:526 sendMessage eHdmiCEC: send message 84 14 00 01 01:00:27.8564 [ ] base/init.cpp:47 setRunlevel + (30) eActionMap 01:00:27.8574 [ ] base/init.cpp:47 setRunlevel + (35) CA handler 01:00:27.8632 [ ] base/init.cpp:47 setRunlevel + (35) CI Slots 01:00:27.8650 [ ] dvb_ci/dvbci.cpp:269 eDVBCIInterfaces scanning for common interfaces.. 01:00:27.8671 [ ] dvb_ci/dvbci.cpp:2009 setSource CI Slot 0 setSource(0) 01:00:27.8675 [ ] dvb_ci/dvbci.cpp:1065 setInputSource eDVBCIInterfaces->setInputSource(0, 0) 01:00:27.8677 [ ] dvb_ci/dvbci.cpp:1065 setInputSource eDVBCIInterfaces->setInputSource(1, 1) 01:00:27.8678 [ ] dvb_ci/dvbci.cpp:308 eDVBCIInterfaces done, found 1 common interface slots 01:00:27.8679 [ ] base/init.cpp:47 setRunlevel + (40) eServiceCenter 01:00:27.8705 [ ] service/service.cpp:116 eServiceCenter settings instance. 01:00:27.8719 [ ] base/init.cpp:47 setRunlevel + (41) Stream server 01:00:27.8752 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 01:00:27.8769 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 01:00:27.8772 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 01:00:27.8785 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 01:00:27.8788 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 01:00:27.8801 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 01:00:27.8815 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 01:00:27.8830 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 01:00:27.8834 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 01:00:27.8852 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 01:00:27.8854 [ ] base/e2avahi.cpp:151 avahi_service_try_register [Avahi] Registered formuler1 (_e2stream._tcp) on formuler1:8001 01:00:27.8855 [ ] base/init.cpp:47 setRunlevel + (41) Encoders 01:00:27.8888 [ E ] base/cfile.h:17 CFile error /proc/stb/encoder/0/decoder [No such file or directory] 01:00:27.8889 [ ] base/init.cpp:47 setRunlevel + (41) eServiceFactoryDVB 01:00:27.8970 [ ] base/init.cpp:47 setRunlevel + (41) eServiceFactoryFS 01:00:27.8996 [ ] base/init.cpp:47 setRunlevel + (41) eServiceFactoryMP3 01:00:27.9054 [ ] base/init.cpp:47 setRunlevel + (41) eServiceFactoryM2TS 01:00:27.9086 [ ] base/init.cpp:47 setRunlevel + (41) eServiceFactoryHDMI 01:00:27.9113 [ ] base/init.cpp:47 setRunlevel + (41) eServiceFactoryTS 01:00:27.9130 [ ] base/init.cpp:47 setRunlevel + (41) eServiceFactoryWebTS 01:00:27.9147 [ ] base/init.cpp:47 setRunlevel + (41) eServiceFactoryDVD 01:00:27.9174 [ ] base/init.cpp:63 setRunlevel reached rl 70 01:00:27.9184 [ ] dvb/db.cpp:649 loadServicelist [eDVBDB] ---- opening lame channel db 01:00:27.9198 [ ] dvb/db.cpp:663 loadServicelist [eDVBDB] reading services (version 4) 01:00:27.9815 [ ] dvb/db.cpp:735 loadServicelist [eDVBDB] loaded 105 channels/transponders and 1402 services 01:00:27.9846 [ ] dvb/sec.cpp:1388 clear eDVBSatelliteEquipmentControl::clear() 01:00:27.9883 [ ] dvb/dvb.cpp:230 scanDevices scanning for frontends.. 01:00:27.9960 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/0/active_antenna_power [No such file or directory] 01:00:27.9962 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/0/active_antenna_power [No such file or directory] 01:00:27.9968 [ ] dvb/frontend.cpp:718 openFrontend m_need_delivery_system_workaround = 0 01:00:27.9969 [ ] dvb/frontend.cpp:720 openFrontend opening frontend 0 01:00:27.9982 [ ] dvb/frontend.cpp:3608 setDeliverySystem frontend 0 setDeliverySystem 17 01:00:27.9983 [ ] dvb/frontend.cpp:3608 setDeliverySystem frontend 0 setDeliverySystem 6 01:00:27.9984 [ ] dvb/frontend.cpp:3608 setDeliverySystem frontend 0 setDeliverySystem 5 01:00:28.0505 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/0/active_antenna_power [No such file or directory] 01:00:28.0506 [ ] dvb/frontend.cpp:905 closeFrontend try to close frontend 0 01:00:28.0507 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/0/active_antenna_power [No such file or directory] 01:00:28.0512 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/1/active_antenna_power [No such file or directory] 01:00:28.0515 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/1/active_antenna_power [No such file or directory] 01:00:28.0518 [ ] dvb/frontend.cpp:718 openFrontend m_need_delivery_system_workaround = 0 01:00:28.0519 [ ] dvb/frontend.cpp:720 openFrontend opening frontend 1 01:00:28.0521 [ ] dvb/frontend.cpp:3608 setDeliverySystem frontend 1 setDeliverySystem 17 01:00:28.0523 [ ] dvb/frontend.cpp:3608 setDeliverySystem frontend 1 setDeliverySystem 6 01:00:28.0524 [ ] dvb/frontend.cpp:3608 setDeliverySystem frontend 1 setDeliverySystem 5 01:00:28.1054 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/1/active_antenna_power [No such file or directory] 01:00:28.1055 [ ] dvb/frontend.cpp:905 closeFrontend try to close frontend 1 01:00:28.1057 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/1/active_antenna_power [No such file or directory] 01:00:28.1061 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/2/active_antenna_power [No such file or directory] 01:00:28.1063 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/2/active_antenna_power [No such file or directory] 01:00:28.1067 [ ] dvb/frontend.cpp:718 openFrontend m_need_delivery_system_workaround = 0 01:00:28.1068 [ ] dvb/frontend.cpp:720 openFrontend opening frontend 2 01:00:28.1070 [ ] dvb/frontend.cpp:3608 setDeliverySystem frontend 2 setDeliverySystem 18 01:00:28.1071 [ ] dvb/frontend.cpp:3608 setDeliverySystem frontend 2 setDeliverySystem 1 01:00:28.1071 [ ] dvb/frontend.cpp:3608 setDeliverySystem frontend 2 setDeliverySystem 3 01:00:28.1072 [ ] dvb/frontend.cpp:3608 setDeliverySystem frontend 2 setDeliverySystem 16 01:00:28.1075 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/2/active_antenna_power [No such file or directory] 01:00:28.1076 [ ] dvb/frontend.cpp:905 closeFrontend try to close frontend 2 01:00:28.1077 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/2/active_antenna_power [No such file or directory] 01:00:28.1156 [ ] dvb/dvb.cpp:192 eDVBResourceManager boxtype detection via /proc/stb/info not possible... use fallback via demux count! 01:00:28.1158 [ ] dvb/dvb.cpp:202 eDVBResourceManager found 1 adapter, 3 frontends(3 sim) and 8 demux, boxtype 4 01:00:28.1191 [ ] dvb/dvbtime.cpp:260 eDVBLocalTimeHandler RTC not ready... wait for transponder time 01:00:28.1224 [ ] dvb/epgcache.cpp:383 eEPGCache [EPGC] Initialized EPGCache (wait for setCacheFile call now) 01:00:28.1421 [ ] enigma.cpp:328 main Loading spinners... 01:00:28.2550 [ E ] base/cfile.h:17 CFile error /usr/share/enigma2/spinner/wait31.png [No such file or directory] 01:00:28.2551 [ ] gdi/epng.cpp:24 loadPNG [ePNG] couldn't open /usr/share/enigma2/spinner/wait31.png 01:00:28.2552 [ ] enigma.cpp:347 main found 30 spinner! 01:00:28.2556 [ ] base/ioprio.cpp:91 setIoPrio setIoPrio best-effort level 3 ok 01:00:29.0046 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/0/lnb_sense [No such file or directory] 01:00:29.0059 [ ] driver/misc_options.cpp:48 detected_12V_output couldn't open /proc/stb/misc/12V_output 01:00:29.0402 { } Components/Harddisk.py:810 enumerateBlockDevices [Harddisk] enumerating block devices... 01:00:29.0584 { } Components/Harddisk.py:115 __init__ [Harddisk] new device sdb -> /dev/sdb -> /dev/sdb 19:40:42.8258 [ ] gdi/grc.cpp:193 thread main thread is non-idle! display spinner! 19:40:42.8748 { } Components/Harddisk.py:115 __init__ [Harddisk] new device sda -> /dev/sda -> /dev/sda 19:40:42.9037 { } Components/Harddisk.py:819 enumerateNetworkMounts [Harddisk] enumerating network mounts... 19:40:43.0506 { } skin.py:187 [SKIN] loading user defined colors for skin XionHDF/skin_user_colors.xml 19:40:43.0512 { } skin.py:193 [SKIN] loading user defined header file for skin XionHDF/skin_user_header.xml 19:40:43.4122 { } skin.py:373 cachemenu menu_mainmenu 19:40:43.9802 { } Components/Renderer/Picon.py:30 onMountpointAdded [Picon] adding path: /usr/share/enigma2/picon/ 19:40:44.1849 { } Components/NimManager.py:751 canBeCompatible 0 is NOT multitype 19:40:44.1852 { } Components/NimManager.py:944 readTransponders Reading satellites.xml 19:40:44.5281 { } Components/NimManager.py:751 canBeCompatible 2 is NOT multitype 19:40:44.5287 { } Components/NimManager.py:1096 readTransponders Reading cables.xml 19:40:44.8038 { } Components/NimManager.py:1098 readTransponders Reading terrestrial.xml 19:40:45.0924 { } Components/NimManager.py:751 canBeCompatible 0 is NOT multitype 19:40:45.0946 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1080 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1088 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1095 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1118 { } Components/NimManager.py:751 canBeCompatible 0 is NOT multitype 19:40:45.1122 { } Components/NimManager.py:751 canBeCompatible 1 is NOT multitype 19:40:45.1142 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1329 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1336 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1343 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1357 { } Components/NimManager.py:751 canBeCompatible 1 is NOT multitype 19:40:45.1361 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1364 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1419 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1451 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1456 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1460 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1476 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1487 [ ] dvb/sec.cpp:1388 clear eDVBSatelliteEquipmentControl::clear() 19:40:45.1491 { } Components/NimManager.py:163 update sec config cleared 19:40:45.1506 { } Components/NimManager.py:751 canBeCompatible 0 is NOT multitype 19:40:45.1512 { } Components/NimManager.py:751 canBeCompatible 0 is NOT multitype 19:40:45.1520 { } Components/NimManager.py:751 canBeCompatible 1 is NOT multitype 19:40:45.1526 { } Components/NimManager.py:751 canBeCompatible 1 is NOT multitype 19:40:45.1531 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1535 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1539 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1545 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1549 [ ] dvb/frontend.cpp:3645 setSlotInfo setSlotInfo for dvb frontend 0 to slotid 0, descr BCM7346 (internal), need rotorworkaround No, enabled Yes, DVB-S2 Yes 19:40:45.1550 [ ] dvb/frontend.cpp:3645 setSlotInfo setSlotInfo for dvb frontend 1 to slotid 1, descr BCM7346 (internal), need rotorworkaround No, enabled Yes, DVB-S2 Yes 19:40:45.1551 [ ] dvb/frontend.cpp:3645 setSlotInfo setSlotInfo for dvb frontend 2 to slotid 2, descr Si2168, need rotorworkaround No, enabled Yes, DVB-S2 No 19:40:45.1555 { } Components/NimManager.py:751 canBeCompatible 0 is NOT multitype 19:40:45.1561 { } Components/NimManager.py:751 canBeCompatible 1 is NOT multitype 19:40:45.1567 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1571 { } Components/NimManager.py:751 canBeCompatible 0 is NOT multitype 19:40:45.1576 { } Components/NimManager.py:231 update slot: 0 configmode: simple 19:40:45.1587 [ ] dvb/sec.cpp:1929 setSlotNotLinked eDVBSatelliteEquipmentControl::setSlotNotLinked(0) 19:40:45.1593 { } Components/NimManager.py:239 update diseqcmode: single 19:40:45.1598 [ ] dvb/sec.cpp:1440 addLNB eDVBSatelliteEquipmentControl::addLNB(0) 19:40:45.1618 [ ] dvb/sec.cpp:1685 setLNBSatCR eDVBSatelliteEquipmentControl::setLNBSatCR(-1) 19:40:45.1619 [ ] dvb/sec.cpp:1518 setLNBSatCRTuningAlgo eDVBSatelliteEquipmentControl::setLNBSatCRTuningAlgo(0) 19:40:45.1620 [ ] dvb/sec.cpp:1506 setLNBSatCRpositionnumber eDVBSatelliteEquipmentControl::setLNBSatCRpositionnumber(1) 19:40:45.1621 [ ] dvb/sec.cpp:1456 setLNBLOFL eDVBSatelliteEquipmentControl::setLNBLOFL(9750000) 19:40:45.1622 [ ] dvb/sec.cpp:1466 setLNBLOFH eDVBSatelliteEquipmentControl::setLNBLOFH(10600000) 19:40:45.1623 [ ] dvb/sec.cpp:1476 setLNBThreshold eDVBSatelliteEquipmentControl::setLNBThreshold(11700000) 19:40:45.1624 [ ] dvb/sec.cpp:1486 setLNBIncreasedVoltage eDVBSatelliteEquipmentControl::setLNBIncreasedVoltage(0) 19:40:45.1626 [ ] dvb/sec.cpp:1551 setRepeats eDVBSatelliteEquipmentControl::setRepeats(0) 19:40:45.1627 [ ] dvb/sec.cpp:1591 setFastDiSEqC eDVBSatelliteEquipmentControl::setFastDiSEqc(0) 19:40:45.1628 [ ] dvb/sec.cpp:1601 setSeqRepeat eDVBSatelliteEquipmentControl::setSeqRepeat(0) 19:40:45.1628 [ ] dvb/sec.cpp:1581 setCommandOrder eDVBSatelliteEquipmentControl::setCommandOrder(0) 19:40:45.1629 [ ] dvb/sec.cpp:1531 setDiSEqCMode eDVBSatelliteEquipmentControl::setDiSEqcMode(0) 19:40:45.1630 [ ] dvb/sec.cpp:1541 setToneburst eDVBSatelliteEquipmentControl::setToneburst(0) 19:40:45.1631 [ ] dvb/sec.cpp:1561 setCommittedCommand eDVBSatelliteEquipmentControl::setCommittedCommand(4) 19:40:45.1632 [ ] dvb/sec.cpp:1571 setUncommittedCommand eDVBSatelliteEquipmentControl::setUncommittedCommand(0) 19:40:45.1633 [ ] dvb/sec.cpp:1752 addSatellite eDVBSatelliteEquipmentControl::addSatellite(192) 19:40:45.1635 [ ] dvb/sec.cpp:1769 setVoltageMode eDVBSatelliteEquipmentControl::setVoltageMode(0) 19:40:45.1636 [ ] dvb/sec.cpp:1780 setToneMode eDVBSatelliteEquipmentControl::setToneMode(0) 19:40:45.1637 [ ] dvb/sec.cpp:1446 setLNBSlotMask eDVBSatelliteEquipmentControl::setLNBSlotMask(1) 19:40:45.1641 { } Components/NimManager.py:751 canBeCompatible 1 is NOT multitype 19:40:45.1646 { } Components/NimManager.py:231 update slot: 1 configmode: simple 19:40:45.1648 [ ] dvb/sec.cpp:1929 setSlotNotLinked eDVBSatelliteEquipmentControl::setSlotNotLinked(1) 19:40:45.1652 { } Components/NimManager.py:239 update diseqcmode: single 19:40:45.1656 [ ] dvb/sec.cpp:1440 addLNB eDVBSatelliteEquipmentControl::addLNB(1) 19:40:45.1658 [ ] dvb/sec.cpp:1685 setLNBSatCR eDVBSatelliteEquipmentControl::setLNBSatCR(-1) 19:40:45.1658 [ ] dvb/sec.cpp:1518 setLNBSatCRTuningAlgo eDVBSatelliteEquipmentControl::setLNBSatCRTuningAlgo(0) 19:40:45.1659 [ ] dvb/sec.cpp:1506 setLNBSatCRpositionnumber eDVBSatelliteEquipmentControl::setLNBSatCRpositionnumber(1) 19:40:45.1660 [ ] dvb/sec.cpp:1456 setLNBLOFL eDVBSatelliteEquipmentControl::setLNBLOFL(9750000) 19:40:45.1661 [ ] dvb/sec.cpp:1466 setLNBLOFH eDVBSatelliteEquipmentControl::setLNBLOFH(10600000) 19:40:45.1662 [ ] dvb/sec.cpp:1476 setLNBThreshold eDVBSatelliteEquipmentControl::setLNBThreshold(11700000) 19:40:45.1663 [ ] dvb/sec.cpp:1486 setLNBIncreasedVoltage eDVBSatelliteEquipmentControl::setLNBIncreasedVoltage(0) 19:40:45.1663 [ ] dvb/sec.cpp:1551 setRepeats eDVBSatelliteEquipmentControl::setRepeats(0) 19:40:45.1664 [ ] dvb/sec.cpp:1591 setFastDiSEqC eDVBSatelliteEquipmentControl::setFastDiSEqc(0) 19:40:45.1666 [ ] dvb/sec.cpp:1601 setSeqRepeat eDVBSatelliteEquipmentControl::setSeqRepeat(0) 19:40:45.1666 [ ] dvb/sec.cpp:1581 setCommandOrder eDVBSatelliteEquipmentControl::setCommandOrder(0) 19:40:45.1667 [ ] dvb/sec.cpp:1531 setDiSEqCMode eDVBSatelliteEquipmentControl::setDiSEqcMode(0) 19:40:45.1668 [ ] dvb/sec.cpp:1541 setToneburst eDVBSatelliteEquipmentControl::setToneburst(0) 19:40:45.1669 [ ] dvb/sec.cpp:1561 setCommittedCommand eDVBSatelliteEquipmentControl::setCommittedCommand(4) 19:40:45.1670 [ ] dvb/sec.cpp:1571 setUncommittedCommand eDVBSatelliteEquipmentControl::setUncommittedCommand(0) 19:40:45.1670 [ ] dvb/sec.cpp:1752 addSatellite eDVBSatelliteEquipmentControl::addSatellite(192) 19:40:45.1671 [ ] dvb/sec.cpp:1769 setVoltageMode eDVBSatelliteEquipmentControl::setVoltageMode(0) 19:40:45.1672 [ ] dvb/sec.cpp:1780 setToneMode eDVBSatelliteEquipmentControl::setToneMode(0) 19:40:45.1673 [ ] dvb/sec.cpp:1446 setLNBSlotMask eDVBSatelliteEquipmentControl::setLNBSlotMask(2) 19:40:45.1677 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1680 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1685 { } Components/NimManager.py:304 update slot: 2 configmode: enabled 19:40:45.1688 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1692 { } Components/NimManager.py:307 update slot: 2 configmode: enabled 19:40:45.1712 { } Components/NimManager.py:326 update sec config completed 19:40:45.1717 { } Components/NimManager.py:2243 InitNimManager [NimManager] slotname = A, slotdescription = BCM7346 (internal), multitype = False, current type = DVB-S2 19:40:45.1722 { } Components/NimManager.py:2243 InitNimManager [NimManager] slotname = B, slotdescription = BCM7346 (internal), multitype = False, current type = DVB-S2 19:40:45.1733 { } Components/NimManager.py:2243 InitNimManager [NimManager] slotname = C, slotdescription = Si2168, multitype = True, current type = DVB-C 19:40:45.1738 { } Components/NimManager.py:751 canBeCompatible 0 is NOT multitype 19:40:45.1743 { } Components/NimManager.py:751 canBeCompatible 1 is NOT multitype 19:40:45.1749 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1752 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1757 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.1761 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:40:45.8862 { } Screens/InfoBarGenerics.py:6331 ~~~~ read box informations ~~~~~~~~~ 19:40:45.8870 { } Screens/InfoBarGenerics.py:6332 MachineName = F1 19:40:45.8876 { } Screens/InfoBarGenerics.py:6333 MachineBrand = Formuler 19:40:45.8880 { } Screens/InfoBarGenerics.py:6334 BoxType = formuler1 19:40:45.8883 { } Screens/InfoBarGenerics.py:6335 getMachineBuild = formuler1 19:40:45.8893 { } Screens/InfoBarGenerics.py:6336 ChipString = 7356 19:40:45.8899 { } Screens/InfoBarGenerics.py:6337 OEM = formuler 19:40:45.8906 { } Screens/InfoBarGenerics.py:6338 Driverdate = 19:40:45.8910 { } Screens/InfoBarGenerics.py:6339 Imageversion = 6.2 19:40:45.8915 { } Screens/InfoBarGenerics.py:6340 Imagebuild = 51 19:40:45.8918 { } Screens/InfoBarGenerics.py:6341 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 19:40:46.3317 { } mytest.py:74 useSyncUsingChanged [Time By]: Transponder 19:40:46.3337 [ ] dvb/epgcache.cpp:470 timeUpdated [EPGC] time updated.. but cache file not set yet.. dont start epg!! 19:40:52.3604 { } Components/Ipkg.py:19 opkgAddDestination [Ipkg] Added to OPKG destinations: / framebuffer not available. executing main 19:40:52.4174 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/Roboto-Bold.ttf...OK (Regular) 19:40:52.4561 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/Roboto-BoldItalic.ttf...OK (Italic) 19:40:52.4667 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/Roboto-Black.ttf...OK (Bold) 19:40:52.4787 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/Roboto-BlackItalic.ttf...OK (Boldit) 19:40:52.4883 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/nmsbd.ttf...OK (Subtitlefont) 19:40:52.5069 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/lcd.ttf...OK (LCD) 19:40:52.5176 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/ae_AlMateen.ttf...OK (Replacement) 19:40:52.5267 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/tuxtxt.ttf...OK (Console) 19:40:52.5497 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/lcd.ttf...OK (LCD) 19:40:52.5505 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/ae_AlMateen.ttf...OK (Replacement) 19:40:52.5512 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/tuxtxt.ttf...OK (Console) 19:40:52.5580 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/enigma2/XionHDF/fonts/nmsbd2.ttf...OK (Arial) 19:40:52.5687 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/enigma2/XionHDF/fonts/NotoSans-Regular.ttf...OK (Regular) 19:40:52.5790 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/enigma2/XionHDF/fonts/NotoSans-Bold.ttf...OK (Regular2) 19:40:52.5867 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/enigma2/XionHDF/fonts/meteocons.ttf...OK (Meteo) 19:40:52.6633 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/LiberationSans-Regular.ttf...OK (Subs) 19:40:52.6725 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/LiberationSans-Italic.ttf...OK (Subsi) 19:40:52.6820 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/LiberationSans-Bold.ttf...OK (Subsb) 19:40:52.6916 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/LiberationSans-BoldItalic.ttf...OK (Subsz) 19:40:52.7010 < > gdi/font.cpp:159 AddFont [FONT] adding font /usr/share/fonts/nmsbd.ttf...OK (FdLcD) 19:40:52.7157 { W } Components/InputDevice.py:65 getInputDeviceType Unknown device type: RC for hdmi_cec 19:40:52.7197 { W } Components/InputDevice.py:65 getInputDeviceType Unknown device type: front panel 19:40:52.7970 { } Components/Keyboard.py:32 activateKeyboardMap Activating keymap: Dreambox Keyboard Deutsch 19:40:52.8006 { } Components/Language.py:89 activateLanguage Activating language Deutsch 19:40:53.3616 { } Components/AVSwitch.py:322 setWss [VideoMode] setting wss: auto 19:40:53.3626 { } Components/AVSwitch.py:328 setPolicy43 [VideoMode] setting policy: auto 19:40:53.3993 { } Components/AVSwitch.py:345 setPolicy169 [VideoMode] setting policy2: scale 19:40:53.4701 { } Components/AVSwitch.py:888 setScaler_sharpness [VideoMode] setting scaler_sharpness to: 0000000D 19:40:53.4721 { } Components/AVSwitch.py:164 setMode [VideoMode] setMode - port: HDMI, mode: 1080i, rate: 50Hz 19:40:54.3250 { } Components/EpgLoadSave.py:35 stop [EPGC Load] Poller disabled. 19:40:54.3256 { } Components/EpgLoadSave.py:74 stop [EPGC Save] Poller disabled. 19:40:54.3713 [ ] dvb/epgcache.cpp:442 setCacheFile [EPGC] setCacheFile read/write epg data from/to '/etc/enigma2/epg.dat' 19:40:54.9069 { } Components/Lcd.py:262 setScrollspeed setLCDScrollspeed 150 19:40:54.9086 { } Components/Lcd.py:255 setRepeat setLCDRepeat 1 19:40:54.9099 { } Components/Lcd.py:194 setMode setLCDMode 1 19:40:54.9114 { } Components/Lcd.py:230 setPower setLCDPower 1 19:40:54.9131 { } Components/Lcd.py:242 setShowoutputresolution setLCDShowoutputresolution 1 19:40:54.9879 { } Screens/UserInterfacePositioner.py:66 InitOsd Setting OSD position: 0 720 0 576 19:40:54.9887 { } Screens/UserInterfacePositioner.py:70 setOSDAlpha Setting OSD alpha: 255 19:40:54.9901 { } Screens/UserInterfacePositioner.py:80 set3DMode Setting 3D mode: off 19:40:54.9915 { } Screens/UserInterfacePositioner.py:102 set3DZnorm Setting 3D depth: 50 19:40:54.9997 { } Components/EpgLoadSave.py:74 stop [EPGC Save] Poller disabled. 19:40:55.0003 { } Components/EpgLoadSave.py:35 stop [EPGC Load] Poller disabled. 19:40:55.0133 [ W ] dvb_ci/dvbci.cpp:326 getSlot FIXME: request for unknown slot 19:40:55.0168 [ W ] dvb_ci/dvbci.cpp:326 getSlot FIXME: request for unknown slot 19:40:55.0192 [ W ] dvb_ci/dvbci.cpp:326 getSlot FIXME: request for unknown slot 19:40:55.7457 { E } Plugins/Extensions/CutListEditor/plugin.py:19 [CutListEditor] import MovieCut failed ln: /usr/bin/ipkg: File exists ln: /usr/bin/ipkg-cl: File exists ####################### running HDFreaks autostart scripts ####################### check scripts and create symlinks 19:40:58.0187 { } Plugins/Extensions/HDF-Toolbox/plugin.py:194 symlink Extensions exists 19:40:58.0203 { } Plugins/Extensions/HDF-Toolbox/plugin.py:203 symlink SystemPlugins exists 19:41:00.6429 { E } Tools/StbHardware.py:22 getFPVersion getFPVersion failed! 19:41:00.6453 { } plugin/controllers/models/info.py:342 getInfo [OpenWebif] -D- tuner '0' 'BCM7346 (internal)' 'Tuner A' 19:41:00.6461 { } plugin/controllers/models/info.py:342 getInfo [OpenWebif] -D- tuner '1' 'BCM7346 (internal)' 'Tuner B' 19:41:00.6468 { } plugin/controllers/models/info.py:342 getInfo [OpenWebif] -D- tuner '2' 'Si2168' 'Tuner C' 19:41:00.8342 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:41:00.8348 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:41:00.8479 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:41:00.8487 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:41:03.3416 { } Components/NimManager.py:751 canBeCompatible 0 is NOT multitype 19:41:03.3544 { } Components/NimManager.py:746 canBeCompatible 2 is multitype 19:41:03.3902 { } Components/NimManager.py:751 canBeCompatible 0 is NOT multitype 19:41:04.0219 [ ] ../../../git/src/serviceapp/serviceapp.cpp:1518 serviceapp_set_setting [serviceapp_set_setting] setting serviceexteplayer3 options 19:41:04.0231 [ ] ../../../git/src/serviceapp/serviceapp.cpp:1522 serviceapp_set_setting [serviceapp_set_setting] setting servicegstplayer options 19:41:04.0235 [ ] ../../../git/src/serviceapp/serviceapp.cpp:1526 serviceapp_set_setting [serviceapp_set_setting] setting servicemp3 options 19:41:04.0239 [ ] ../../../git/src/serviceapp/serviceapp.cpp:1427 gstplayer_set_setting [gstplayer_set_setting] setting servicegstplayer options 19:41:04.0242 [ ] ../../../git/src/serviceapp/serviceapp.cpp:1431 gstplayer_set_setting [gstplayer_set_setting] setting servicemp3 options 19:41:04.0247 [ ] ../../../git/src/serviceapp/serviceapp.cpp:1473 exteplayer3_set_setting [exteplayer3_set_setting] setting serviceextplayer3 options 19:41:04.0250 [ ] ../../../git/src/serviceapp/serviceapp.cpp:1477 exteplayer3_set_setting [exteplayer3_set_setting] setting servicemp3 options 19:41:04.0472 { } Plugins/Extensions/HDF-Toolbox/plugin.py:242 iptvUpdate [HDF-Toolbox]: IPTV autoupdate 19:41:04.0996 { } Plugins/Extensions/HDF-Toolbox/downloader.py:933 doIptvUpdate [HDF-Toolbox] IPTV list update 19:41:15.6502 { } Plugins/Extensions/AutoTimer/AutoPoller.py:16 __init__ [AutoTimer] Auto Poll Enabled 19:41:15.6561 { } Plugins/SystemPlugins/CommonInterfaceAssignment/plugin.py:630 autostart [CI_Assignment] activating ci configs: 19:41:15.6581 { } Plugins/SystemPlugins/Hotplug/plugin.py:58 autostart starting hotplug handler 19:41:15.6827 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/0/active_antenna_power [No such file or directory] 19:41:15.7039 { } RecordTimer.py:1226 record [Timer] Record RecordTimerEntry(name=Ritter hoch 3, begin=Sun Aug 27 19:45:00 2017, serviceref=1:0:1:2F08:441:1:C00000:0:0:0:, justplay=0, isAutoTimer=0) 19:41:15.7073 { } Navigation.py:68 __init__ ==================================================================================================== 19:41:15.7077 { } Navigation.py:70 __init__ [NAVIGATION] wakeup time from deep-standby expected: *** Sun Aug 27 19:40:29 2017 *** 19:41:15.7081 { } Navigation.py:71 __init__ [NAVIGATION] timer wakeup detection window: Sun Aug 27 19:35:29 2017 - Sun Aug 27 19:49:40 2017 19:41:15.7083 { } Navigation.py:72 __init__ ---------------------------------------------------------------------------------------------------- 19:41:15.7088 { } Navigation.py:82 __init__ [NAVIGATION] starting deepstandby-workaround 19:41:15.7094 { } Navigation.py:95 __init__ [NAVIGATION] was timer wakeup = True 19:41:15.7098 { } Navigation.py:96 __init__ [NAVIGATION] current time is Sun Aug 27 19:41:15 2017 19:41:15.7101 { } Navigation.py:100 __init__ ---------------------------------------------------------------------------------------------------- 19:41:15.7103 { } Navigation.py:102 __init__ [NAVIGATION] wakeup time was Sun Aug 27 19:40:29 2017 19:41:15.7108 { } Navigation.py:133 wakeupCheck [NAVIGATION] wakeup type is 'record-timer' and starts in standby 19:41:15.7111 { } Navigation.py:136 wakeupCheck [NAVIGATION] timer starts at Sun Aug 27 19:44:40 2017 19:41:15.7113 { } Navigation.py:139 wakeupCheck [NAVIGATION] was rectimer wakeup = True 19:41:15.7119 { } Navigation.py:161 wakeupCheck ==================================================================================================== 19:41:15.7182 [ ] dvb/volume.cpp:152 setVolume Setvolume: 100 100 (raw) 19:41:15.7183 [ ] dvb/volume.cpp:158 setVolume [AUDIO_SET_MIXER] Setvolume left: 0 right: 0 (-1db) 19:41:15.7208 { } skin.py:1091 readSkin [SKIN] Parsing embedded skin 19:41:15.7250 { } skin.py:1311 readSkin [SKIN] processing screen : 19:41:15.7323 { } skin.py:1311 readSkin [SKIN] processing screen SimpleSummary: 19:41:15.7477 { } Plugins/Extensions/EnhancedMovieCenter/EMCTasker.py:43 emcDebugOut EMC: +++ EMC git20170502 startup 19:41:15.7585 { } Plugins/Extensions/EnhancedMovieCenter/EnhancedMovieCenter.py:97 checkImg [EMC] checkImg is not Vti 19:41:15.7595 { } Plugins/Extensions/EnhancedMovieCenter/EnhancedMovieCenter.py:104 checkImg [EMC] checkImg is newPiconRenderer 19:41:15.7755 { } skin.py:1311 readSkin [SKIN] processing screen Screensaver: 19:41:15.8815 { } skin.py:1311 readSkin [SKIN] processing screen SecondInfoBar: 19:41:16.1973 { W } skin.py:412 applyAll [SKIN] Attribute "alphatest" with value "blend" in object of type "eLabel" is not implemented 19:41:16.2227 { W } skin.py:412 applyAll [SKIN] Attribute "valign" with value "center" in object of type "ePixmap" is not implemented 19:41:16.2253 { W } skin.py:412 applyAll [SKIN] Attribute "valign" with value "center" in object of type "ePixmap" is not implemented 19:41:16.2280 { W } skin.py:412 applyAll [SKIN] Attribute "valign" with value "center" in object of type "ePixmap" is not implemented 19:41:16.2319 { W } skin.py:412 applyAll [SKIN] Attribute "valign" with value "center" in object of type "ePixmap" is not implemented 19:41:16.2345 { W } skin.py:412 applyAll [SKIN] Attribute "valign" with value "center" in object of type "ePixmap" is not implemented 19:41:16.2371 { W } skin.py:412 applyAll [SKIN] Attribute "valign" with value "center" in object of type "ePixmap" is not implemented 19:41:16.2401 { W } skin.py:412 applyAll [SKIN] Attribute "valign" with value "center" in object of type "ePixmap" is not implemented 19:41:16.2432 { W } skin.py:412 applyAll [SKIN] Attribute "valign" with value "center" in object of type "ePixmap" is not implemented 19:41:16.2460 { W } skin.py:412 applyAll [SKIN] Attribute "valign" with value "center" in object of type "ePixmap" is not implemented 19:41:16.2976 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element key_yellow in 19:41:16.2983 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element key_blue in 19:41:16.3005 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element epg_description in 19:41:16.3013 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element key_green in 19:41:16.3022 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element key_red in 19:41:16.3029 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element channel in 19:41:16.3707 { } skin.py:1091 readSkin [SKIN] Parsing embedded skin 19:41:16.3722 { } skin.py:1311 readSkin [SKIN] processing screen : 19:41:16.4123 { } skin.py:1311 readSkin [SKIN] processing screen ChannelSelection: 19:41:16.4813 { W } skin.py:412 applyAll [SKIN] Attribute "valign" with value "left" in object of type "eWidget" is not implemented 19:41:16.4949 { W } skin.py:412 applyAll [SKIN] Attribute "colorServiceRecording" with value "red" in object of type "eListbox" is not implemented 19:41:16.5290 { } skin.py:1311 readSkin [SKIN] processing screen SlimChannelSelection: 19:41:16.5409 { E } skin.py:1264 process [SKIN] SKIN ERROR in screen 'SlimChannelSelection' widget 'widget': {XionHDF/skin.xml}: source 'PrimeTime' was not found in screen 'SlimChannelSelection'!. Please contact the skin's author! 19:41:16.5715 { W } skin.py:412 applyAll [SKIN] Attribute "colorServiceRecording" with value "red" in object of type "eListbox" is not implemented 19:41:16.5913 { } skin.py:1311 readSkin [SKIN] processing screen RdsInfoDisplay: 19:41:16.6038 { } skin.py:1311 readSkin [SKIN] processing screen RdsInfoDisplaySummary: 19:41:16.6107 { } skin.py:1311 readSkin [SKIN] processing screen UnhandledKey: 19:41:16.6267 { } skin.py:1311 readSkin [SKIN] processing screen Dish: 19:41:16.6311 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element From in 19:41:16.6319 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element Goto in 19:41:16.6327 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element tunerName in 19:41:16.6334 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element turnSpeed in 19:41:16.6343 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element posGoto in 19:41:16.6351 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element posFrom in 19:41:16.6401 { W } skin.py:412 applyAll [SKIN] Attribute "itemHeight" with value "30" in object of type "ePixmap" is not implemented 19:41:16.6405 { W } skin.py:412 applyAll [SKIN] Attribute "font" with value "Regular;22" in object of type "ePixmap" is not implemented 19:41:16.6416 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element turnTime in 19:41:16.6424 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element Tuner in 19:41:16.6461 { } skin.py:1311 readSkin [SKIN] processing screen BufferIndicator: 19:41:16.6599 { } skin.py:1311 readSkin [SKIN] processing screen TimeshiftState: 19:41:16.6742 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element PTSSeekPointer in 19:41:16.6749 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element statusicon in 19:41:16.6758 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element eventname in 19:41:16.6774 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element PTSSeekBack in 19:41:16.6782 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element speed in 19:41:16.6896 { } skin.py:1311 readSkin [SKIN] processing screen SubtitleDisplay: 19:41:16.7102 { } skin.py:1311 readSkin [SKIN] processing screen InfoBar: 19:41:16.7742 { W } skin.py:412 applyAll [SKIN] Attribute "alphatest" with value "blend" in object of type "eLabel" is not implemented 19:41:16.7761 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element key_blue in 19:41:16.7771 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element key_red in 19:41:16.7789 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element key_green in 19:41:16.7798 { W } Components/GUISkin.py:35 createGUIScreen warning, skin is missing element key_yellow in 19:41:16.7973 { } skin.py:1311 readSkin [SKIN] processing screen InfoBarSummary: 19:41:16.8410 { } skin.py:1311 readSkin [SKIN] processing screen Volume: 19:41:16.8578 { } skin.py:1311 readSkin [SKIN] processing screen Mute: 19:41:16.8661 [ ] dvb/volume.cpp:152 setVolume Setvolume: 10 10 (raw) 19:41:16.8662 [ ] dvb/volume.cpp:158 setVolume [AUDIO_SET_MIXER] Setvolume left: 57 right: 57 (-1db) 19:41:16.8952 { } skin.py:1311 readSkin [SKIN] processing screen Scart: 19:41:16.9137 { } skin.py:1311 readSkin [SKIN] processing screen AutoVideoModeLabel: 19:41:16.9199 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//bouquets.tv 19:41:16.9208 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.iptv_germany.tv 19:41:16.9277 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 295 entries in Bouquet userbouquet.iptv_germany.tv 19:41:16.9280 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.iptv_webcams.tv 19:41:16.9532 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 814 entries in Bouquet userbouquet.iptv_webcams.tv 19:41:16.9536 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.iptv_music.tv 19:41:16.9608 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 229 entries in Bouquet userbouquet.iptv_music.tv 19:41:16.9611 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.iptv.tv 19:41:16.9648 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 114 entries in Bouquet userbouquet.iptv.tv 19:41:16.9650 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.iptv_various.tv 19:41:16.9689 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 140 entries in Bouquet userbouquet.iptv_various.tv 19:41:16.9692 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.iptv_epg_germany.tv 19:41:16.9707 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 41 entries in Bouquet userbouquet.iptv_epg_germany.tv 19:41:16.9709 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.favourites.tv 19:41:16.9719 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 30 entries in Bouquet userbouquet.favourites.tv 19:41:16.9731 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.bef001.tv 19:41:16.9744 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 79 entries in Bouquet userbouquet.bef001.tv 19:41:16.9751 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.bef002.tv 19:41:16.9759 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 35 entries in Bouquet userbouquet.bef002.tv 19:41:16.9767 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.bef003.tv 19:41:16.9788 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 55 entries in Bouquet userbouquet.bef003.tv 19:41:16.9796 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.bef004.tv 19:41:16.9804 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 9 entries in Bouquet userbouquet.bef004.tv 19:41:16.9815 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.bef005.tv 19:41:16.9824 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 15 entries in Bouquet userbouquet.bef005.tv 19:41:16.9835 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.bef006.tv 19:41:16.9853 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 109 entries in Bouquet userbouquet.bef006.tv 19:41:16.9861 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.bef007.tv 19:41:16.9866 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 21 entries in Bouquet userbouquet.bef007.tv 19:41:16.9873 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.bef008.tv 19:41:16.9879 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 18 entries in Bouquet userbouquet.bef008.tv 19:41:16.9891 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.bef009.tv 19:41:16.9896 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 9 entries in Bouquet userbouquet.bef009.tv 19:41:16.9898 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 16 entries in Bouquet bouquets.tv 19:41:16.9902 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//bouquets.radio 19:41:16.9910 [ ] dvb/db.cpp:1033 loadBouquet [eDVBDB] loading bouquet... /etc/enigma2//userbouquet.favourites.radio 19:41:16.9919 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 25 entries in Bouquet userbouquet.favourites.radio 19:41:16.9921 [ ] dvb/db.cpp:1143 loadBouquet [eDVBDB] 1 entries in Bouquet bouquets.radio 19:41:16.9922 [ ] dvb/db.cpp:1187 renumberBouquet [eDVBDB] Renumbering... 19:41:16.9942 { } mytest.py:603 runScreenTest lastshutdown=True (True = last shutdown was OK) 19:41:16.9947 { } mytest.py:604 runScreenTest NOK shutdown action=normal 19:41:16.9951 { } mytest.py:605 runScreenTest bootup action=normal killall: showiframe: no process killed 19:41:17.1097 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:17.1103 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:17.1111 { } Screens/LogManager.py:68 TrimTimerJob [LogManager] Trim Poll Started 19:41:17.1668 { } Screens/LogManager.py:72 TrashTimerJob [LogManager] Trash Poll Started 19:41:17.1676 { } Screens/LogManager.py:116 JobTrash [LogManager] probing folders 19:41:17.1848 { } Screens/LogManager.py:128 JobTrash [LogManager] found following log's: ['/home/root/logs'] 19:41:17.1852 { } Screens/LogManager.py:131 JobTrash [LogManager] looking in: /home/root/logs 19:41:17.1867 { } Screens/LogManager.py:159 JobTrash [LogManager] /home/root/logs: bytesToRemove -10438889 19:41:17.1878 { } Components/NetworkTime.py:41 update_schedule [NTP]: setting E2 time: 1503855677.19 19:41:17.1887 [ ] dvb/epgcache.cpp:454 timeUpdated [EPGC] time updated.. start EPG Mainloop 19:41:17.3112 { } Plugins/Extensions/EnhancedMovieCenter/EMCTasker.py:43 emcDebugOut EMC: Setting EPG language: de_DE 19:41:17.3629 { } Navigation.py:244 playService playing 1:0:1:2F08:441:1:C00000:0:0:0: 19:41:17.5711 [ ] dvb/epgcache.cpp:1378 load [EPGC] 4104 events read from /etc/enigma2/epg.dat 19:41:17.6206 { } Screens/InfoBarGenerics.py:5546 __serviceStarted new service started! trying to download cuts! 19:41:17.6216 [ ] dvb/dvb.cpp:1394 allocateChannel allocate channel.. 0441:0001 19:41:17.6217 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.6217 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.6218 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.6218 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.6219 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.6219 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.6219 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.6220 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.6220 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.6220 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.6221 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.6221 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.6222 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.6222 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.6223 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.6223 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.6223 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.6224 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.6224 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.6225 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.6230 [ ] dvb/frontend.cpp:718 openFrontend m_need_delivery_system_workaround = 0 19:41:17.6231 [ ] dvb/frontend.cpp:720 openFrontend opening frontend 0 19:41:17.6236 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/0/active_antenna_power [No such file or directory] 19:41:17.6280 [ ] dvb/frontend.cpp:2906 tune tune tuner 0 19:41:17.6282 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.6282 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.6282 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.6283 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.6283 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.6284 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.6285 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.6285 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.6285 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.6286 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.6286 [ ] dvb/sec.cpp:1046 prepare RotorCmd ffffffff, lastRotorCmd ffffffff 19:41:17.6287 [ ] dvb/frontend.cpp:2826 prepare_sat frontend 0 prepare_sat System 0 Freq 12187500 Pol 0 SR 27500000 INV 2 FEC 3 orbpos 192 system 0 modulation 1 pilot 2, rolloff 0, is_id 0, pls_mode 0, pls_code 1 19:41:17.6288 [ ] dvb/frontend.cpp:2832 prepare_sat tuning to 1587 MHz 19:41:17.6289 [ ] dvb/dvb.cpp:1871 frontendStateChanged OURSTATE: tuner 0 tuning 19:41:17.6290 [ ] dvb/pmt.cpp:990 tuneExt allocate Channel: res 0 19:41:17.6291 [ ] dvb_ci/dvbci.cpp:787 addPMTHandler [eDVBCIInterfaces] addPMTHandler 1:0:1:2F08:441:1:C00000:0:0:0: 19:41:17.6309 [ ] dvb/dvb.cpp:1094 allocateDemux allocate demux 19:41:17.6443 [ ] base/e2avahi.cpp:48 activated [Avahi] watch activated: 0x1 19:41:17.6458 [ ] driver/rcinput.cpp:38 handleCode 1 6c 1 19:41:17.6604 { } Plugins/Extensions/AtileHD/plugin.py:51 menu madieatv2 19:41:17.6830 { } Plugins/Extensions/AtileHD/plugin.py:51 menu madieatv2 19:41:17.7109 { } skin.py:1311 readSkin [SKIN] processing screen ChannelSelection_summary: 19:41:17.7250 [ ] driver/rcinput.cpp:38 handleCode 0 6c 1 19:41:17.7730 [ ] base/ebase.cpp:279 processOneEvent poll: unhandled POLLERR/HUP/NVAL for fd 40(16) 19:41:17.7732 [ ] base/ebase.cpp:279 processOneEvent poll: unhandled POLLERR/HUP/NVAL for fd 42(17) 19:41:17.7733 [ ] base/ebase.cpp:279 processOneEvent poll: unhandled POLLERR/HUP/NVAL for fd 45(17) 19:41:17.7733 [ ] base/ebase.cpp:279 processOneEvent poll: unhandled POLLERR/HUP/NVAL for fd 48(16) 19:41:17.7782 { } Components/Task.py:375 jobDone job Components.Task.Job name=Log-Verwaltung #tasks=1 completed with [] in None 19:41:17.7792 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:17.7798 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:17.7951 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.7952 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.7952 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.7953 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.7953 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.7954 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.7956 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.7956 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.7957 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.7957 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.7958 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.7959 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.7959 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.7960 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.7960 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.7961 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.7961 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.7962 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.7962 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.7963 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8030 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8032 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.8032 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.8033 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8033 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8034 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8035 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8036 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8036 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8037 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8038 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8039 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.8039 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.8040 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8040 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8041 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8041 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8042 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8042 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8043 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8116 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8117 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.8118 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.8118 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8119 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8120 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8120 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8120 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8121 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8122 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8123 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8123 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.8124 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.8125 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8126 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8126 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8127 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8127 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8127 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8128 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8367 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8368 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.8368 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.8369 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8369 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8370 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8370 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8370 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8371 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8371 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8372 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8372 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.8372 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.8373 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8373 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8374 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8374 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8376 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8376 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8376 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8419 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8420 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.8420 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.8420 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8421 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8421 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8422 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8422 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8422 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8423 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8423 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8424 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.8424 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.8425 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8425 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8426 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8426 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8426 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8427 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8427 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8446 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8448 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.8448 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.8448 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8449 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8449 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8450 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8450 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8450 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8451 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8451 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8452 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.8452 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.8452 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8453 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8453 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8453 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8454 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8455 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8455 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8481 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8482 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.8482 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.8482 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8483 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8483 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8484 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8484 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8485 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8485 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8486 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8486 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.8487 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.8487 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8488 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8488 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8488 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8489 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8489 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8489 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8506 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8507 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.8507 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.8507 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8508 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8508 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8509 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8509 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8509 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8510 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8510 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8510 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.8511 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.8511 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8512 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8512 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8512 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8513 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8513 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8513 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8524 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8526 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.8527 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.8527 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8527 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8528 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8528 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8528 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8529 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8529 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8530 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8530 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.8530 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.8531 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8531 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8532 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8532 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8532 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8533 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8533 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8546 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8547 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.8548 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.8548 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8548 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8549 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8549 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8550 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8550 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8550 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8551 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8551 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.8552 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.8552 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8552 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8553 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8553 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8553 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8554 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8554 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8565 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8566 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.8567 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.8567 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8568 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8568 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8568 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8569 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8569 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8569 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8570 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8570 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.8571 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.8571 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8571 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8572 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8572 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8572 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8573 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8573 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8593 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8595 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.8595 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.8596 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8596 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8597 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8597 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8597 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8598 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8598 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8599 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8599 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.8599 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.8600 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8600 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8601 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8601 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8601 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8602 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8602 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8613 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8615 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.8616 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.8616 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8617 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8617 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8617 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8618 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8618 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8619 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8619 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8619 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.8620 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.8620 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8621 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8621 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8621 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8622 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8622 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8622 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8633 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8635 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.8635 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.8636 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8636 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8636 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8637 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8637 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8637 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8638 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8638 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8639 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.8639 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.8640 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8640 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8640 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8641 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8641 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8641 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8642 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8653 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8654 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:17.8655 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:17.8655 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8656 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8656 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8657 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8657 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8657 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8658 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8658 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:17.8659 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:17.8659 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:17.8659 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:17.8660 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:17.8660 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:17.8660 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:17.8661 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:17.8661 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:17.8661 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:17.8687 [ ] dvb/frontend.cpp:2198 tuneLoopInt [SEC] set static current limiting 19:41:17.8725 { } Components/Network.py:125 routeFinished 0.0.0.0 19:41:17.8731 { } Components/Network.py:125 routeFinished 192.168 19:41:17.9344 { E } plugin/controllers/SR.py:24 __init__ SerienRecorder plugin not found 19:41:17.9353 { E } plugin/controllers/ER.py:23 __init__ EPG Refresh Plugin not found 19:41:17.9429 { } plugin/httpserver.py:156 buildRootTree [OpenWebif] no plugins to load 19:41:17.9478 { } plugin/httpserver.py:177 HttpdStart [OpenWebif] started on 80 19:41:17.9487 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:17.9501 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:17.9505 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:17.9517 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:17.9527 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:17.9542 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:17.9545 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:17.9562 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:17.9563 [ ] base/e2avahi.cpp:151 avahi_service_try_register [Avahi] Registered formuler1 (_http._tcp) on formuler1:80 19:41:17.9569 [ ] base/ebase.cpp:279 processOneEvent poll: unhandled POLLERR/HUP/NVAL for fd 47(16) 19:41:17.9575 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:17.9576 [ ] base/e2avahi.cpp:196 avahi_browser_callback [Avahi] Resolving service 'osmega' of type '_e2stream._tcp' 19:41:17.9596 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:17.9611 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:17.9613 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0063 [ ] dvb/frontend.cpp:2147 tuneLoopInt [SEC] invalidate current switch params 19:41:18.0065 [ ] base/e2avahi.cpp:48 activated [Avahi] watch activated: 0x1 19:41:18.0086 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0088 [ ] base/e2avahi.cpp:196 avahi_browser_callback [Avahi] Resolving service 'osmega' of type '_e2stream._tcp' 19:41:18.0094 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0109 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0112 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0136 [ ] base/e2avahi.cpp:48 activated [Avahi] watch activated: 0x1 19:41:18.0139 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0141 [ ] base/e2avahi.cpp:196 avahi_browser_callback [Avahi] Resolving service 'xpeedlx' of type '_e2stream._tcp' 19:41:18.0149 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0192 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0197 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0199 [ ] dvb/frontend.cpp:1867 tuneLoopInt [SEC] tuner 0 setVoltage 2 19:41:18.0203 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0205 [ ] base/e2avahi.cpp:196 avahi_browser_callback [Avahi] Resolving service 'xpeedlx' of type '_e2stream._tcp' 19:41:18.0213 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0245 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0249 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0251 [ ] dvb/frontend.cpp:1858 tuneLoopInt [SEC] tuner 0 sleep 10ms 19:41:18.0252 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0254 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0256 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0257 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0259 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0260 [ ] base/e2avahi.cpp:196 avahi_browser_callback [Avahi] Resolving service 'formuler1' of type '_e2stream._tcp' 19:41:18.0268 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0299 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0303 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0306 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0307 [ ] base/e2avahi.cpp:196 avahi_browser_callback [Avahi] Resolving service 'formuler1' of type '_e2stream._tcp' 19:41:18.0315 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0340 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0342 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0349 [ ] base/e2avahi.cpp:48 activated [Avahi] watch activated: 0x1 19:41:18.0351 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0352 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0354 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0356 [ ] base/e2avahi.cpp:203 avahi_browser_callback [Avahi] REMOVE service 'osmega' of type '_e2stream._tcp' in domain 'local' 19:41:18.0357 [!W!] service/servicepeer.cpp:23 peer_remove REMOVE Peer osmega 19:41:18.0357 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0359 [ ] dvb/frontend.cpp:1904 tuneLoopInt [SEC] tuner 0 setTone 1 19:41:18.0360 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0361 [ ] base/e2avahi.cpp:203 avahi_browser_callback [Avahi] REMOVE service 'xpeedlx' of type '_e2stream._tcp' in domain 'local' 19:41:18.0362 [!W!] service/servicepeer.cpp:23 peer_remove REMOVE Peer xpeedlx 19:41:18.0363 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0366 [ ] dvb/frontend.cpp:1858 tuneLoopInt [SEC] tuner 0 sleep 10ms 19:41:18.0367 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0368 [ ] base/e2avahi.cpp:203 avahi_browser_callback [Avahi] REMOVE service 'formuler1' of type '_e2stream._tcp' in domain 'local' 19:41:18.0369 [!W!] service/servicepeer.cpp:23 peer_remove REMOVE Peer formuler1 19:41:18.0370 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0372 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0373 [ ] base/e2avahi.cpp:203 avahi_browser_callback [Avahi] REMOVE service 'osmega' of type '_e2stream._tcp' in domain 'local' 19:41:18.0375 [!W!] service/servicepeer.cpp:23 peer_remove REMOVE Peer osmega 19:41:18.0376 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0378 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0379 [ ] base/e2avahi.cpp:203 avahi_browser_callback [Avahi] REMOVE service 'xpeedlx' of type '_e2stream._tcp' in domain 'local' 19:41:18.0380 [!W!] service/servicepeer.cpp:23 peer_remove REMOVE Peer xpeedlx 19:41:18.0380 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0382 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0383 [ ] base/e2avahi.cpp:203 avahi_browser_callback [Avahi] REMOVE service 'formuler1' of type '_e2stream._tcp' in domain 'local' 19:41:18.0384 [!W!] service/servicepeer.cpp:23 peer_remove REMOVE Peer formuler1 19:41:18.0389 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0391 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0392 [ ] base/e2avahi.cpp:196 avahi_browser_callback [Avahi] Resolving service 'formuler1' of type '_e2stream._tcp' 19:41:18.0401 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0419 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0421 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0423 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0425 [ ] base/e2avahi.cpp:196 avahi_browser_callback [Avahi] Resolving service 'formuler1' of type '_e2stream._tcp' 19:41:18.0439 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0461 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0463 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0466 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0468 [ ] base/e2avahi.cpp:196 avahi_browser_callback [Avahi] Resolving service 'xpeedlx' of type '_e2stream._tcp' 19:41:18.0480 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0507 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0509 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0512 [ ] dvb/frontend.cpp:2157 tuneLoopInt [SEC] update current switch params 19:41:18.0513 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0514 [ ] base/e2avahi.cpp:196 avahi_browser_callback [Avahi] Resolving service 'xpeedlx' of type '_e2stream._tcp' 19:41:18.0523 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0558 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0562 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0564 [ ] dvb/frontend.cpp:1969 tuneLoopInt [SEC] tuner 0 startTuneTimeout 5000 19:41:18.0566 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0567 [ ] base/e2avahi.cpp:196 avahi_browser_callback [Avahi] Resolving service 'osmega' of type '_e2stream._tcp' 19:41:18.0573 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0607 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0611 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0613 [ ] dvb/frontend.cpp:1962 tuneLoopInt [SEC] tuner 0 setFrontend: events enabled 19:41:18.0615 [ ] dvb/frontend.cpp:2380 setFrontend setting frontend 0 events: on 19:41:18.0620 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0622 [ ] base/e2avahi.cpp:196 avahi_browser_callback [Avahi] Resolving service 'osmega' of type '_e2stream._tcp' 19:41:18.0634 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0650 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0653 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0657 [ ] dvb/frontend.cpp:1055 feEvent (0)fe event: status 0, inversion off, m_tuning 1 19:41:18.0658 [ ] dvb/frontend.cpp:1858 tuneLoopInt [SEC] tuner 0 sleep 500ms 19:41:18.0659 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0661 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0664 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0676 [ ] base/e2avahi.cpp:177 avahi_resolver_callback [Avahi] ADD Service 'osmega' of type '_e2stream._tcp' at osmega.local:8001 19:41:18.0677 [!W!] service/servicepeer.cpp:15 peer_register ADD Peer osmega=osmega.local:8001 19:41:18.0739 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0756 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0759 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0762 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0763 [ ] base/e2avahi.cpp:177 avahi_resolver_callback [Avahi] ADD Service 'osmega' of type '_e2stream._tcp' at osmega.local:8001 19:41:18.0766 [!W!] service/servicepeer.cpp:15 peer_register ADD Peer osmega=osmega.local:8001 19:41:18.0769 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0788 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0791 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0793 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0795 [ ] base/e2avahi.cpp:177 avahi_resolver_callback [Avahi] ADD Service 'xpeedlx' of type '_e2stream._tcp' at xpeedlx.local:8001 19:41:18.0796 [!W!] service/servicepeer.cpp:15 peer_register ADD Peer xpeedlx=xpeedlx.local:8001 19:41:18.0798 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0811 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0813 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0816 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0818 [ ] base/e2avahi.cpp:177 avahi_resolver_callback [Avahi] ADD Service 'xpeedlx' of type '_e2stream._tcp' at xpeedlx.local:8001 19:41:18.0818 [!W!] service/servicepeer.cpp:15 peer_register ADD Peer xpeedlx=xpeedlx.local:8001 19:41:18.0821 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0835 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0837 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0838 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0841 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0856 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0859 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0861 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0865 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0881 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0884 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0886 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0890 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0906 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0909 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0911 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0915 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0938 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0940 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0942 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0944 [ ] base/e2avahi.cpp:177 avahi_resolver_callback [Avahi] ADD Service 'xpeedlx' of type '_e2stream._tcp' at xpeedlx.local:8001 19:41:18.0946 [!W!] service/servicepeer.cpp:15 peer_register ADD Peer xpeedlx=xpeedlx.local:8001 19:41:18.0949 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0966 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0969 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0971 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0973 [ ] base/e2avahi.cpp:177 avahi_resolver_callback [Avahi] ADD Service 'xpeedlx' of type '_e2stream._tcp' at xpeedlx.local:8001 19:41:18.0973 [!W!] service/servicepeer.cpp:15 peer_register ADD Peer xpeedlx=xpeedlx.local:8001 19:41:18.0976 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.0993 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.0996 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.0998 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.0999 [ ] base/e2avahi.cpp:177 avahi_resolver_callback [Avahi] ADD Service 'osmega' of type '_e2stream._tcp' at osmega.local:8001 19:41:18.0999 [!W!] service/servicepeer.cpp:15 peer_register ADD Peer osmega=osmega.local:8001 19:41:18.1002 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.1015 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.1017 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.1019 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.1020 [ ] base/e2avahi.cpp:177 avahi_resolver_callback [Avahi] ADD Service 'osmega' of type '_e2stream._tcp' at osmega.local:8001 19:41:18.1021 [!W!] service/servicepeer.cpp:15 peer_register ADD Peer osmega=osmega.local:8001 19:41:18.1023 [ ] base/e2avahi.cpp:360 avahi_timeout_new [Avahi] avahi_timeout_new 19:41:18.1037 [ ] base/e2avahi.cpp:380 avahi_timeout_free [Avahi] avahi_timeout_free 19:41:18.1039 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.1656 [ ] dvb/frontend.cpp:1055 feEvent (0)fe event: status 1f, inversion off, m_tuning 2 19:41:18.1657 [ ] dvb/dvb.cpp:1867 frontendStateChanged OURSTATE: tuner 0 ok 19:41:18.1658 [ ] dvb/dvbtime.cpp:674 DVBChannelStateChanged [eDVBLocalTimerHandler] channel 0x150f638 running 19:41:18.1673 [ ] dvb/epgcache.cpp:680 DVBChannelStateChanged [eEPGCache] channel 0x150f638 running 19:41:18.1692 [ ] dvb/epgcache.cpp:2071 startChannel [EPGC] next update in 2 sec 19:41:18.1693 [ ] dvb/dvb.cpp:1473 DVBChannelStateChanged stop release channel timer 19:41:18.1694 [ ] dvb/pmt.cpp:77 channelStateChanged ok ... now we start!! 19:41:18.1707 [ ] service/servicedvb.cpp:1199 serviceEvent eventNewProgramInfo 0 0 19:41:18.1708 < > service/servicedvb.cpp:2834 updateDecoder have 1 video stream(s) (00a5), and 1 audio stream(s) (0078), and the pcr pid is 00a5, and the text pid is 0041 19:41:18.1710 [ ] dvb/dvb.cpp:1094 allocateDemux allocate demux 19:41:18.1859 [ ] service/servicedvb.cpp:3518 setAC3Delay Setting audio delay: setAC3Delay, 0 + 0 19:41:18.1875 [ ] service/servicedvb.cpp:3529 setPCMDelay Setting audio delay: setPCMDelay, 0 + 0 19:41:18.1877 [ ] dvb/decoder.cpp:829 setState decoder state: play, vpid=165, apid=120 19:41:18.1878 < > dvb/decoder.cpp:702 startPid DMX_SET_PES_FILTER(0xa5) - pcr - ok 19:41:18.1878 < > dvb/decoder.cpp:710 startPid DEMUX_START - pcr - ok 19:41:18.1880 < > dvb/decoder.cpp:84 startPid DMX_SET_PES_FILTER(0x78) - audio - ok 19:41:18.1881 < > dvb/decoder.cpp:92 startPid DEMUX_START - audio - ok 19:41:18.1882 < > dvb/decoder.cpp:138 startPid AUDIO_SET_BYPASS(1) - ok 19:41:18.1883 < > dvb/decoder.cpp:191 freeze AUDIO_PAUSE - ok 19:41:18.1883 < > dvb/decoder.cpp:146 startPid AUDIO_PLAY - ok 19:41:18.1938 [ ] dvb/decoder.cpp:267 eDVBVideo Video Device: /dev/dvb/adapter0/video0 19:41:18.1938 [ ] dvb/decoder.cpp:274 eDVBVideo demux device: /dev/dvb/adapter0/demux0 19:41:18.1940 < > dvb/decoder.cpp:354 startPid VIDEO_SET_STREAMTYPE 0 - ok 19:41:18.1940 < > dvb/decoder.cpp:389 startPid DMX_SET_PES_FILTER(0xa5) - video - ok 19:41:18.1941 < > dvb/decoder.cpp:397 startPid DEMUX_START - video - ok 19:41:18.1942 < > dvb/decoder.cpp:458 freeze VIDEO_FREEZE - ok 19:41:18.1943 < > dvb/decoder.cpp:412 startPid VIDEO_PLAY - ok 19:41:18.1998 < > dvb/decoder.cpp:779 startPid DMX_SET_PES_FILTER(0x41) - ttx - ok 19:41:18.1999 < > dvb/decoder.cpp:787 startPid DEMUX_START - ttx - ok 19:41:18.2062 < > dvb/decoder.cpp:482 setSlowMotion VIDEO_SLOWMOTION(0) - ok 19:41:18.2065 < > dvb/decoder.cpp:497 setFastForward VIDEO_FAST_FORWARD(0) - ok 19:41:18.2067 < > dvb/decoder.cpp:470 unfreeze VIDEO_CONTINUE - ok 19:41:18.2068 < > dvb/decoder.cpp:203 unfreeze AUDIO_CONTINUE - ok 19:41:18.2069 < > dvb/decoder.cpp:222 setChannel AUDIO_CHANNEL_SELECT(0) - ok 19:41:18.2102 [ ] dvb/teletext.cpp:640 setPageAndMagazine disable teletext subtitles page ffffffffffffffff (und) 19:41:18.2237 { } Plugins/Extensions/Volume_adjust/plugin.py:469 __evUpdatedInfo [Volume Adjust] Update Info 19:41:18.2241 { } Plugins/Extensions/Volume_adjust/plugin.py:473 __evUpdatedInfo [Volume Adjust] Volume = 10 19:41:18.2244 { } Plugins/Extensions/Volume_adjust/plugin.py:447 loadXML [Volume Adjust] load xml... 19:41:18.2250 { } Plugins/Extensions/Volume_adjust/plugin.py:484 __evUpdatedInfo [Volume Adjust] New Channel 19:41:18.2253 { } Plugins/Extensions/Volume_adjust/plugin.py:489 __evUpdatedInfo [Volume Adjust] oldvol = 0 19:41:18.2347 [ ] dvb/cahandler.cpp:267 registerService [eDVBCAService] new service 1:0:1:2F08:441:1:C00000:0:0:0: 19:41:18.2348 [ ] dvb/cahandler.cpp:285 registerService [eDVBCAService] add demux 0 to slot 0 service 1:0:1:2F08:441:1:C00000:0:0:0: 19:41:18.2805 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:18.2816 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:18.2819 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:18.2826 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:18.2836 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:18.2842 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:18.2843 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:18.2843 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:18.2844 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:18.2846 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:18.2847 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:18.2847 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:18.2847 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:18.2848 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:18.2848 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:18.2849 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:18.2849 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:18.2849 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:18.2850 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:18.2850 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:18.2851 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:18.2851 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:18.2851 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:18.2852 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:18.2852 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:18.3856 [ ] dvb/pmt.cpp:202 PATready PATready 19:41:18.3857 [ ] dvb/pmt.cpp:213 PATready PAT TSID: 0x0441 (1089) 19:41:18.3859 [ ] dvb/pmt.cpp:242 PATready use pmtpid 002d for service_id 2f08 19:41:18.5627 [ ] service/servicedvb.cpp:1199 serviceEvent eventNewProgramInfo 0 0 19:41:18.5657 < > service/servicedvb.cpp:2834 updateDecoder have 1 video stream(s) (00a5), and 1 audio stream(s) (0078), and the pcr pid is 00a5, and the text pid is 0041 19:41:18.5662 [ ] service/servicedvb.cpp:3518 setAC3Delay Setting audio delay: setAC3Delay, 0 + 0 19:41:18.5667 [ ] service/servicedvb.cpp:3529 setPCMDelay Setting audio delay: setPCMDelay, 0 + 0 19:41:18.5669 [ ] dvb/decoder.cpp:829 setState decoder state: play, vpid=165, apid=120 19:41:18.5816 { } Plugins/Extensions/Volume_adjust/plugin.py:469 __evUpdatedInfo [Volume Adjust] Update Info 19:41:18.5821 { } Plugins/Extensions/Volume_adjust/plugin.py:473 __evUpdatedInfo [Volume Adjust] Volume = 10 19:41:18.5823 { } Plugins/Extensions/Volume_adjust/plugin.py:447 loadXML [Volume Adjust] load xml... 19:41:18.5891 [ ] dvb_ci/dvbci.cpp:867 gotPMT [eDVBCIInterfaces] gotPMT 19:41:18.5932 [ ] dvb/frontend.cpp:2198 tuneLoopInt [SEC] set dynamic current limiting 19:41:18.6125 [ ] driver/rcinput.cpp:38 handleCode 2 b 1 19:41:18.7021 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/0/active_antenna_power [No such file or directory] 19:41:18.7325 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:18.8307 [ ] base/e2avahi.cpp:48 activated [Avahi] watch activated: 0x1 19:41:18.8309 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.8311 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:41:18.8312 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:41:18.8960 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:18.8972 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:18.8975 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:18.8986 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:18.8993 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:18.9190 < > dvb/decoder.cpp:540 video_event VIDEO_GET_EVENT - ok 19:41:18.9199 < > dvb/decoder.cpp:540 video_event VIDEO_GET_EVENT - ok 19:41:18.9269 < > dvb/decoder.cpp:540 video_event VIDEO_GET_EVENT - ok 19:41:19.1006 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:19.2577 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:19.2589 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:19.2592 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:19.2602 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:19.2609 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:19.3311 { } Components/AVSwitch.py:322 setWss [VideoMode] setting wss: auto 19:41:19.3320 { } Components/AVSwitch.py:328 setPolicy43 [VideoMode] setting policy: auto 19:41:19.3341 { } Components/AVSwitch.py:345 setPolicy169 [VideoMode] setting policy2: scale 19:41:19.3638 [ ] dvb/pmt.cpp:288 AITready AITready 19:41:19.3640 [ ] dvb/pmt.cpp:307 AITready Section Length : 769, Total Section Length : 772 19:41:19.3641 [ ] dvb/pmt.cpp:318 AITready found applicaions ids >> pid : 0, orgid : 26, appid : 600 19:41:19.3643 [ ] dvb/pmt.cpp:282 saveData Save Data Len : [772] 19:41:19.3647 [ ] dvb/pmt.cpp:318 AITready found applicaions ids >> pid : 0, orgid : 26, appid : 626 19:41:19.3648 [ ] dvb/pmt.cpp:318 AITready found applicaions ids >> pid : 0, orgid : 26, appid : 623 19:41:19.3649 [ ] dvb/pmt.cpp:318 AITready found applicaions ids >> pid : 0, orgid : 26, appid : 621 19:41:19.3650 [ ] dvb/pmt.cpp:318 AITready found applicaions ids >> pid : 0, orgid : 26, appid : 622 19:41:19.3651 [ ] dvb/pmt.cpp:318 AITready found applicaions ids >> pid : 0, orgid : 26, appid : 620 19:41:19.3651 [ ] dvb/pmt.cpp:452 AITready Found : control[1], name[Super RTL Startleiste], url[http://hbbtv.superrtl.de/index.html] 19:41:19.3652 [ ] dvb/pmt.cpp:452 AITready Found : control[2], name[Super RTL NOW index 2], url[http://hbbtv.superrtlnow.de/hbbtv2/index.php] 19:41:19.3653 [ ] dvb/pmt.cpp:452 AITready Found : control[2], name[Super RTL Now dev overview], url[http://dev.superrtlnow.de/hbbtv/overview.php] 19:41:19.3653 [ ] dvb/pmt.cpp:452 AITready Found : control[2], name[Super RTL Now overview], url[http://hbbtv.superrtlnow.de/hbbtv/overview.php] 19:41:19.3655 [ ] dvb/pmt.cpp:452 AITready Found : control[2], name[Super RTL Now dev index], url[http://dev.superrtlnow.de/hbbtv/index.php] 19:41:19.3655 [ ] dvb/pmt.cpp:452 AITready Found : control[2], name[Super RTL Now index], url[http://hbbtv.superrtlnow.de/hbbtv/index.php] 19:41:19.4605 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:19.5706 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:19.5717 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:19.5720 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:19.5730 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:19.5737 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:19.7725 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:20.0992 [ ] driver/rcinput.cpp:38 handleCode 1 3 1 19:41:20.1004 { } Components/ActionMap.py:46 action action -> SetupActions 2 19:41:20.1008 { W } Components/ActionMap.py:53 action unknown action SetupActions/2! typo in keymap? 19:41:20.1014 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:20.1023 { } Components/ServiceList.py:231 moveToChar Moving to character a 19:41:20.1029 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.1030 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:20.1030 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:20.1031 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.1031 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.1032 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.1032 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.1032 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.1033 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.1033 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.1034 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.1034 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:20.1035 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:20.1035 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.1036 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.1036 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.1036 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.1037 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.1037 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.1038 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.1048 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.1049 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:20.1049 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:20.1050 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.1050 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.1050 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.1051 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.1051 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.1051 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.1052 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.1052 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.1053 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:20.1053 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:20.1054 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.1054 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.1055 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.1055 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.1056 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.1056 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.1056 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.1783 [ ] dvb/epgcache.cpp:1594 startEPG [EPGC] start caching events 19:41:20.1866 [ ] dvb/pmt.cpp:943 SDTScanEvent sdt update done! 19:41:20.3006 [ ] driver/rcinput.cpp:38 handleCode 0 3 1 19:41:20.4853 [ ] driver/rcinput.cpp:38 handleCode 1 3 1 19:41:20.4864 { } Components/ActionMap.py:46 action action -> SetupActions 2 19:41:20.4868 { W } Components/ActionMap.py:53 action unknown action SetupActions/2! typo in keymap? 19:41:20.4873 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:20.4881 { } Components/ServiceList.py:231 moveToChar Moving to character b 19:41:20.4887 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.4888 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:20.4888 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:20.4889 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.4889 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.4890 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.4890 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.4890 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.4891 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.4891 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.4892 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.4892 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:20.4892 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:20.4893 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.4893 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.4894 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.4894 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.4895 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.4895 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.4896 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.4913 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.4915 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:20.4915 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:20.4916 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.4916 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.4917 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.4917 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.4917 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.4918 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.4918 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.4919 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.4919 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:20.4920 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:20.4920 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.4921 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.4921 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.4922 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.4922 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.4923 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.4923 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.4935 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.4936 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:20.4936 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:20.4937 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.4937 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.4937 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.4938 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.4938 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.4938 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.4939 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.4939 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.4940 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:20.4940 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:20.4940 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.4941 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.4941 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.4942 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.4942 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.4942 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.4943 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.4952 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.4953 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:20.4954 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:20.4955 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.4955 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.4956 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.4956 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.4956 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.4957 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.4957 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.4957 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.4958 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:20.4958 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:20.4959 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.4959 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.4959 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.4960 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.4960 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.4960 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.4961 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.4972 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.4973 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:20.4974 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:20.4975 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.4975 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.4976 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.4976 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.4976 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.4977 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.4977 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.4978 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.4978 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:20.4979 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:20.4979 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.4979 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.4980 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.4980 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.4980 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.4981 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.4981 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.4994 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.4996 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:20.4996 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:20.4997 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.4997 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.4997 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.4998 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.4998 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.4999 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.4999 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.4999 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.5000 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:20.5000 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:20.5001 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.5001 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.5001 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.5002 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.5002 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.5002 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.5003 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.5015 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.5016 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:20.5017 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:20.5017 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.5017 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.5018 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.5018 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.5019 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.5019 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.5019 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.5020 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.5020 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:20.5021 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:20.5021 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.5021 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.5022 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.5022 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.5022 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.5023 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.5023 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.5035 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.5036 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:20.5037 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:20.5037 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.5038 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.5038 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.5038 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.5039 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.5039 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.5040 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.5040 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.5040 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:20.5041 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:20.5041 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.5042 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.5042 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.5042 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.5043 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.5043 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.5043 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.5053 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.5054 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:20.5054 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:20.5055 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.5056 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.5056 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.5056 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.5057 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.5057 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.5057 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.5058 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.5058 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:20.5059 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:20.5059 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.5060 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.5060 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.5060 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.5061 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.5061 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.5061 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.5071 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.5072 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:20.5073 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:20.5073 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.5074 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.5074 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.5075 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.5075 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.5076 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.5076 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.5077 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:20.5077 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:20.5078 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:20.5078 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:20.5078 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:20.5079 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:20.5079 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:20.5079 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:20.5080 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:20.5080 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:20.6935 [ ] driver/rcinput.cpp:38 handleCode 0 3 1 19:41:20.7125 { } Navigation.py:223 gotostandby [NAVIGATION] now entering standby 19:41:20.7601 { } Plugins/Extensions/EnhancedMovieCenter/EMCTasker.py:43 emcDebugOut EMC: recordings exist... so next trashcan cleanup in -1121 minutes 19:41:22.9678 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:22.9690 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:22.9693 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:22.9699 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:22.9707 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:22.9712 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9715 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:22.9715 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:22.9716 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9716 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9717 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9717 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9717 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9718 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9718 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9718 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9719 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:22.9719 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:22.9720 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9720 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9720 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9721 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9721 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9722 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9722 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9732 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9733 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:22.9734 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:22.9735 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9735 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9736 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9736 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9736 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9737 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9737 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9737 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9738 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:22.9738 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:22.9739 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9739 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9739 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9740 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9740 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9740 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9741 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9753 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9755 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:22.9756 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:22.9756 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9757 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9757 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9757 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9758 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9758 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9758 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9759 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9759 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:22.9760 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:22.9760 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9761 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9761 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9761 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9762 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9762 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9762 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9813 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9814 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:22.9816 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:22.9817 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9817 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9817 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9818 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9818 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9819 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9819 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9819 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9820 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:22.9820 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:22.9821 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9821 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9821 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9822 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9822 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9822 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9823 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9848 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9849 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:22.9849 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:22.9849 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9850 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9850 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9851 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9851 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9851 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9852 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9852 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9853 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:22.9853 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:22.9853 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9854 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9855 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9856 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9856 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9856 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9857 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9872 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9873 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:22.9873 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:22.9873 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9874 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9875 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9875 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9875 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9876 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9876 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9877 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9878 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:22.9879 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:22.9880 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9880 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9881 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9881 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9881 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9882 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9883 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9903 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9905 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:22.9905 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:22.9906 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9906 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9907 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9907 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9907 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9908 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9908 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9909 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9909 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:22.9909 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:22.9910 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9910 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9911 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9911 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9911 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9912 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9912 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9922 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9923 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:22.9924 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:22.9924 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9925 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9925 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9926 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9926 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9926 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9927 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9927 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9928 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:22.9928 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:22.9928 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9929 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9929 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9930 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9930 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9930 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9931 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9940 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9941 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:22.9941 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:22.9942 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9942 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9943 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9943 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9943 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9944 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9945 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9946 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9946 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:22.9947 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:22.9947 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9948 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9948 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9948 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9949 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9949 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9949 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9959 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9960 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:22.9961 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:22.9961 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9961 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9962 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9962 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9962 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9963 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9963 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9964 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9966 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:22.9967 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:22.9967 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9968 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9968 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9968 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9969 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9969 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9969 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9980 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9981 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:22.9981 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:22.9982 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9982 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9983 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9983 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9983 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9984 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9985 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:22.9985 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:22.9986 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:22.9987 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:22.9987 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:22.9988 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:22.9989 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:22.9989 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:22.9989 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:22.9990 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:22.9990 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:23.0003 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:23.0005 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:23.0006 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:23.0006 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:23.0007 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:23.0007 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:23.0008 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:23.0008 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:23.0008 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:23.0009 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:23.0009 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:23.0010 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:23.0010 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:23.0010 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:23.0011 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:23.0011 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:23.0011 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:23.0012 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:23.0012 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:23.0013 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:23.0023 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:23.0025 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:23.0025 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:23.0026 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:23.0026 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:23.0027 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:23.0027 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:23.0027 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:23.0028 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:23.0028 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:23.0029 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:23.0029 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:23.0029 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:23.0030 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:23.0030 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:23.0031 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:23.0031 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:23.0031 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:23.0032 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:23.0032 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:23.0043 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:23.0044 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:23.0045 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:23.0045 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:23.0046 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:23.0046 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:23.0046 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:23.0047 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:23.0047 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:23.0047 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:23.0048 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:23.0048 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:23.0049 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:23.0049 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:23.0049 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:23.0050 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:23.0050 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:23.0051 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:23.0051 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:23.0051 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:23.0061 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:23.0062 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:23.0062 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:23.0063 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:23.0065 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:23.0066 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:23.0066 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:23.0066 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:23.0067 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:23.0067 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:23.0068 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:23.0068 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:23.0068 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:23.0069 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:23.0069 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:23.0070 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:23.0070 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:23.0070 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:23.0071 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:23.0071 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:23.1725 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:23.3152 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:23.3163 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:23.3172 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:23.3182 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:23.3191 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:23.5166 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:23.9012 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:23.9024 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:23.9028 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:23.9038 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:23.9044 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:24.1095 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:24.2358 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:24.2370 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:24.2373 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:24.2383 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:24.2394 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:24.4375 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:24.8812 [ ] driver/rcinput.cpp:38 handleCode 1 4 1 19:41:24.8828 { } Components/ActionMap.py:46 action action -> SetupActions 3 19:41:24.8831 { W } Components/ActionMap.py:53 action unknown action SetupActions/3! typo in keymap? 19:41:24.8837 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:24.8842 { } Components/ServiceList.py:231 moveToChar Moving to character d 19:41:25.0855 [ ] driver/rcinput.cpp:38 handleCode 0 4 1 19:41:25.8536 [ ] driver/rcinput.cpp:38 handleCode 1 2 1 19:41:25.8547 { } Components/ActionMap.py:46 action action -> SetupActions 1 19:41:25.8550 { W } Components/ActionMap.py:53 action unknown action SetupActions/1! typo in keymap? 19:41:25.8557 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:25.8563 { } Components/ServiceList.py:231 moveToChar Moving to character 1 19:41:26.0606 [ ] driver/rcinput.cpp:38 handleCode 0 2 1 19:41:26.1890 [ ] driver/rcinput.cpp:38 handleCode 1 2 1 19:41:26.1902 { } Components/ActionMap.py:46 action action -> SetupActions 1 19:41:26.1906 { W } Components/ActionMap.py:53 action unknown action SetupActions/1! typo in keymap? 19:41:26.1914 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:26.1920 { } Components/ServiceList.py:231 moveToChar Moving to character 1 19:41:26.3966 [ ] driver/rcinput.cpp:38 handleCode 0 2 1 19:41:26.4527 [ ] driver/rcinput.cpp:38 handleCode 1 2 1 19:41:26.4538 { } Components/ActionMap.py:46 action action -> SetupActions 1 19:41:26.4541 { W } Components/ActionMap.py:53 action unknown action SetupActions/1! typo in keymap? 19:41:26.4549 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:26.4555 { } Components/ServiceList.py:231 moveToChar Moving to character 1 19:41:26.6045 [ ] driver/rcinput.cpp:38 handleCode 0 2 1 19:41:26.9680 [ ] driver/rcinput.cpp:38 handleCode 1 3 1 19:41:26.9691 { } Components/ActionMap.py:46 action action -> SetupActions 2 19:41:26.9694 { W } Components/ActionMap.py:53 action unknown action SetupActions/2! typo in keymap? 19:41:26.9701 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:26.9708 { } Components/ServiceList.py:231 moveToChar Moving to character a 19:41:26.9713 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:26.9716 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:26.9716 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:26.9716 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:26.9717 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:26.9717 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:26.9718 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:26.9718 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:26.9718 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:26.9719 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:26.9719 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:26.9720 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:26.9720 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:26.9720 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:26.9721 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:26.9721 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:26.9722 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:26.9722 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:26.9722 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:26.9723 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:26.9733 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:26.9735 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:26.9735 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:26.9736 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:26.9736 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:26.9736 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:26.9737 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:26.9737 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:26.9737 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:26.9738 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:26.9738 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:26.9739 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:26.9739 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:26.9740 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:26.9740 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:26.9740 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:26.9741 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:26.9741 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:26.9741 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:26.9742 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:27.1725 [ ] driver/rcinput.cpp:38 handleCode 0 3 1 19:41:27.1859 [ ] dvb/epgcache.cpp:1957 abortNonAvail [EPGC] abort non avail schedule other reading 19:41:27.1866 [ ] dvb/epgcache.cpp:1981 abortNonAvail [EPGC] abort non avail netmed schedule reading 19:41:27.1871 [ ] dvb/epgcache.cpp:1988 abortNonAvail [EPGC] abort non avail netmed schedule other reading 19:41:27.1875 [ ] dvb/epgcache.cpp:1997 abortNonAvail [EPGC] abort non avail FreeSat schedule_other reading 19:41:27.1879 [ ] dvb/epgcache.cpp:2008 abortNonAvail [EPGC] abort non avail viasat reading 19:41:27.2308 < > dvb/epgcache.cpp:2269 readData [EPGC] nownext 19:41:27.2309 [ ] dvb/epgcache.cpp:2310 readData finished(1503855687) 19:41:28.7884 [ ] driver/rcinput.cpp:38 handleCode 1 2 1 19:41:28.7896 { } Components/ActionMap.py:46 action action -> SetupActions 1 19:41:28.7899 { W } Components/ActionMap.py:53 action unknown action SetupActions/1! typo in keymap? 19:41:28.7905 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:28.7913 { } Components/ServiceList.py:231 moveToChar Moving to character 1 19:41:28.7919 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:28.7920 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:28.7920 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:28.7921 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:28.7921 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:28.7921 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:28.7922 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:28.7922 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:28.7923 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:28.7923 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:28.7923 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:28.7924 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:28.7925 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:28.7925 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:28.7926 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:28.7926 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:28.7926 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:28.7927 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:28.7927 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:28.7927 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:28.7938 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:28.7939 [ ] dvb/sec.cpp:110 canTune canTune 1 19:41:28.7939 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:41:28.7940 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:28.7940 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:28.7941 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:28.7941 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:28.7941 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:28.7942 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:28.7942 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:28.7942 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:41:28.7943 [ ] dvb/sec.cpp:110 canTune canTune 2 19:41:28.7943 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:41:28.7944 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:41:28.7945 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:41:28.7945 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:41:28.7946 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:41:28.7946 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:41:28.7946 [ ] dvb/sec.cpp:263 canTune score new 15000 19:41:28.7947 [ ] dvb/sec.cpp:278 canTune final score 15005 19:41:29.1885 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:29.3565 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:29.5245 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:29.6925 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:29.8606 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:29.9645 [ ] driver/rcinput.cpp:38 handleCode 0 2 1 19:41:30.5795 [ ] driver/rcinput.cpp:38 handleCode 1 2 1 19:41:30.5809 { } Components/ActionMap.py:46 action action -> SetupActions 1 19:41:30.5812 { W } Components/ActionMap.py:53 action unknown action SetupActions/1! typo in keymap? 19:41:30.5822 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:30.5829 { } Components/ServiceList.py:231 moveToChar Moving to character 1 19:41:30.7805 [ ] driver/rcinput.cpp:38 handleCode 0 2 1 19:41:30.9256 [ ] driver/rcinput.cpp:38 handleCode 1 2 1 19:41:30.9268 { } Components/ActionMap.py:46 action action -> SetupActions 1 19:41:30.9271 { W } Components/ActionMap.py:53 action unknown action SetupActions/1! typo in keymap? 19:41:30.9279 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:30.9286 { } Components/ServiceList.py:231 moveToChar Moving to character 1 19:41:31.1325 [ ] driver/rcinput.cpp:38 handleCode 0 2 1 19:41:31.2368 [ ] driver/rcinput.cpp:38 handleCode 1 2 1 19:41:31.2381 { } Components/ActionMap.py:46 action action -> SetupActions 1 19:41:31.2388 { W } Components/ActionMap.py:53 action unknown action SetupActions/1! typo in keymap? 19:41:31.2397 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:31.2403 { } Components/ServiceList.py:231 moveToChar Moving to character 1 19:41:31.4365 [ ] driver/rcinput.cpp:38 handleCode 0 2 1 19:41:33.9278 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:33.9290 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:33.9293 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:33.9300 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:33.9306 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:34.1325 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:34.2807 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:34.2820 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:34.2823 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:34.2834 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:34.2841 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:34.4845 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:34.6354 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:34.6367 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:34.6370 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:34.6380 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:34.6392 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:34.8366 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:35.1072 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:35.1083 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:35.1087 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:35.1097 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:35.1104 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:35.3085 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:35.7255 [ ] driver/rcinput.cpp:38 handleCode 1 4 1 19:41:35.7267 { } Components/ActionMap.py:46 action action -> SetupActions 3 19:41:35.7270 { W } Components/ActionMap.py:53 action unknown action SetupActions/3! typo in keymap? 19:41:35.7276 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:35.7282 { } Components/ServiceList.py:231 moveToChar Moving to character d 19:41:35.9325 [ ] driver/rcinput.cpp:38 handleCode 0 4 1 19:41:36.7458 [ ] driver/rcinput.cpp:38 handleCode 1 2 1 19:41:36.7470 { } Components/ActionMap.py:46 action action -> SetupActions 1 19:41:36.7473 { W } Components/ActionMap.py:53 action unknown action SetupActions/1! typo in keymap? 19:41:36.7479 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:36.7486 { } Components/ServiceList.py:231 moveToChar Moving to character 1 19:41:36.9485 [ ] driver/rcinput.cpp:38 handleCode 0 2 1 19:41:38.6123 [ ] driver/rcinput.cpp:38 handleCode 1 2 1 19:41:38.6135 { } Components/ActionMap.py:46 action action -> SetupActions 1 19:41:38.6139 { W } Components/ActionMap.py:53 action unknown action SetupActions/1! typo in keymap? 19:41:38.6147 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:38.6155 { } Components/ServiceList.py:231 moveToChar Moving to character 1 19:41:39.0125 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:39.1805 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:39.3485 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:39.5166 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:39.6845 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:39.8525 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:40.0206 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:40.1885 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:40.3566 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:40.5245 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:40.6925 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:40.8605 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:41.0285 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:41.1965 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:41.3645 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:41.5325 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:41.7005 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:41.8685 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:42.0366 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:42.2046 [ ] driver/rcinput.cpp:38 handleCode 2 2 1 19:41:42.2685 [ ] driver/rcinput.cpp:38 handleCode 0 2 1 19:41:43.6170 [ ] driver/rcinput.cpp:38 handleCode 1 2 1 19:41:43.6181 { } Components/ActionMap.py:46 action action -> SetupActions 1 19:41:43.6185 { W } Components/ActionMap.py:53 action unknown action SetupActions/1! typo in keymap? 19:41:43.6195 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:43.6202 { } Components/ServiceList.py:231 moveToChar Moving to character 1 19:41:43.8205 [ ] driver/rcinput.cpp:38 handleCode 0 2 1 19:41:46.2241 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:46.2252 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:46.2256 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:46.2261 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:46.2270 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:46.2324 [ ] dvb/dvbtime.cpp:478 updateTime [eDVBLocalTimerHandler] Transponder time is 27.08.2017 19:41:45 19:41:46.2325 [ ] dvb/dvbtime.cpp:544 updateTime [eDVBLocalTimerHandler] dont have correction.. set Transponder Diff 19:41:46.2326 [ ] dvb/dvbtime.cpp:49 setRTC [eDVBLocalTimerHandler] set RTC Time 19:41:46.2329 [ ] dvb/dvbtime.cpp:564 updateTime [eDVBLocalTimerHandler] update RTC 19:41:46.2330 [ ] dvb/dvbtime.cpp:584 updateTime [eDVBLocalTimerHandler] time update to 19:41:45 19:41:46.2331 [ ] dvb/dvbtime.cpp:587 updateTime [eDVBLocalTimerHandler] m_time_difference is -1 19:41:46.2331 [ ] dvb/dvbtime.cpp:591 updateTime [eDVBLocalTimerHandler] set Linux Time 19:41:45.2332 [ ] dvb/dvbtime.cpp:602 updateTime [eDVBLocalTimerHandler] time after update is 19:41:45 19:41:45.4284 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:45.6321 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:45.6332 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:45.6336 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:45.6346 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:45.6352 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:45.8365 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:45.9943 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:45.9953 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:45.9957 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:45.9968 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:45.9975 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:46.1965 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:46.7638 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:46.7649 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:46.7652 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:46.7663 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:46.7670 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:46.9653 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:41:47.3825 [ ] driver/rcinput.cpp:38 handleCode 1 4 1 19:41:47.3837 { } Components/ActionMap.py:46 action action -> SetupActions 3 19:41:47.3840 { W } Components/ActionMap.py:53 action unknown action SetupActions/3! typo in keymap? 19:41:47.3846 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:47.3852 { } Components/ServiceList.py:231 moveToChar Moving to character d 19:41:47.5884 [ ] driver/rcinput.cpp:38 handleCode 0 4 1 19:41:48.5394 [ ] driver/rcinput.cpp:38 handleCode 1 2 1 19:41:48.5406 { } Components/ActionMap.py:46 action action -> SetupActions 1 19:41:48.5409 { W } Components/ActionMap.py:53 action unknown action SetupActions/1! typo in keymap? 19:41:48.5416 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:48.5422 { } Components/ServiceList.py:231 moveToChar Moving to character 1 19:41:48.6059 < > dvb/epgcache.cpp:2269 readData [EPGC] schedule 19:41:48.6061 [ ] dvb/epgcache.cpp:2310 readData finished(1503855708) 19:41:48.6067 [ ] dvb/epgcache.cpp:1573 finishEPG [EPGC] stop caching events 19:41:48.6068 [ ] dvb/epgcache.cpp:1575 finishEPG [EPGC] next update in 60 min 19:41:48.7405 [ ] driver/rcinput.cpp:38 handleCode 0 2 1 19:41:58.0939 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:41:58.0950 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:41:58.0954 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:41:58.0960 { } Components/ServiceList.py:223 moveToChar Next char: 19:41:58.0969 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:41:58.3005 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:42:03.2004 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:42:03.2016 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:42:03.2020 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:42:03.2030 { } Components/ServiceList.py:223 moveToChar Next char: 19:42:03.2037 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:42:03.4044 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:42:03.5681 [ ] driver/rcinput.cpp:38 handleCode 1 b 1 19:42:03.5692 { } Components/ActionMap.py:46 action action -> SetupActions 0 19:42:03.5696 { W } Components/ActionMap.py:53 action unknown action SetupActions/0! typo in keymap? 19:42:03.5707 { } Components/ServiceList.py:223 moveToChar Next char: 19:42:03.5715 { } Components/ServiceList.py:231 moveToChar Moving to character 0 19:42:03.7734 [ ] driver/rcinput.cpp:38 handleCode 0 b 1 19:42:18.5246 [ ] base/ebase.cpp:279 processOneEvent poll: unhandled POLLERR/HUP/NVAL for fd 65(16) 19:42:29.6884 [ ] base/ebase.cpp:279 processOneEvent poll: unhandled POLLERR/HUP/NVAL for fd 65(16) 19:42:39.4698 [ ] driver/rcinput.cpp:38 handleCode 1 ae 1 19:42:39.4710 { } Components/ActionMap.py:46 action action -> OkCancelActions cancel 19:42:39.5119 { } Screens/Standby.py:96 __init__ enter standby 19:42:39.5135 [ ] dvb/cahandler.cpp:333 unregisterService [eDVBCAService] free slot 0 demux 0 for service 1:0:1:2F08:441:1:C00000:0:0:0: 19:42:39.5137 [ ] dvb/cahandler.cpp:497 ~eDVBCAService [eDVBCAService] free service 1:0:1:2F08:441:1:C00000:0:0:0: 19:42:39.5243 [ ] dvb/decoder.cpp:829 setState decoder state: play, vpid=-1, apid=-1 19:42:39.5245 < > dvb/decoder.cpp:723 stop DEMUX_STOP - pcr - ok 19:42:39.5246 < > dvb/decoder.cpp:425 stop DEMUX_STOP - video - ok 19:42:39.5247 < > dvb/decoder.cpp:434 stop VIDEO_STOP - ok 19:42:39.5257 < > dvb/decoder.cpp:159 stop AUDIO_STOP - ok 19:42:39.5359 < > dvb/decoder.cpp:167 stop DEMUX_STOP - audio - ok 19:42:39.5360 < > dvb/decoder.cpp:203 unfreeze AUDIO_CONTINUE - ok 19:42:39.5361 < > dvb/decoder.cpp:800 stop DEMUX_STOP - ttx - ok 19:42:39.5503 [ ] dvb/dvb.cpp:1479 DVBChannelStateChanged start release channel timer 19:42:39.6602 { } skin.py:1311 readSkin [SKIN] processing screen Standby: 19:42:39.6646 { } skin.py:1311 readSkin [SKIN] processing screen StandbySummary: 19:42:39.6751 < > driver/hdmi_cec.cpp:526 sendMessage eHdmiCEC: send message 36 19:42:39.9707 [ ] driver/rcinput.cpp:38 handleCode 0 ae 1 19:42:41.5138 [ ] dvb/cahandler.cpp:386 serviceGone [DVBCAHandler] no more services 19:42:42.5505 [ ] dvb/dvb.cpp:1490 releaseCachedChannel release cached channel (timer timeout) 19:42:42.5506 [ ] dvb/dvbtime.cpp:690 DVBChannelStateChanged [eDVBLocalTimerHandler] remove channel 0x150f638 19:42:42.5507 [ ] dvb/epgcache.cpp:686 DVBChannelStateChanged [eEPGCache] remove channel 0x150f638 19:42:42.5511 [ ] dvb/dvb.cpp:1473 DVBChannelStateChanged stop release channel timer 19:42:45.5540 [ ] dvb/frontend.cpp:905 closeFrontend try to close frontend 0 19:42:45.5542 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/0/active_antenna_power [No such file or directory] 19:43:01.8843 [ ] driver/rcinput.cpp:38 handleCode 1 71 1 19:43:02.0854 [ ] driver/rcinput.cpp:38 handleCode 0 71 1 19:43:03.4960 [ ] base/e2avahi.cpp:48 activated [Avahi] watch activated: 0x1 19:43:03.4964 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:43:03.4969 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:43:03.4970 [ ] base/e2avahi.cpp:203 avahi_browser_callback [Avahi] REMOVE service 'osmega' of type '_e2stream._tcp' in domain 'local' 19:43:03.4971 [!W!] service/servicepeer.cpp:23 peer_remove REMOVE Peer osmega 19:43:03.4972 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:43:03.4973 [ ] base/e2avahi.cpp:48 activated [Avahi] watch activated: 0x1 19:43:03.4975 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:43:03.4976 [ ] base/e2avahi.cpp:26 timeout [Avahi] timeout elapsed 19:43:03.4977 [ ] base/e2avahi.cpp:203 avahi_browser_callback [Avahi] REMOVE service 'osmega' of type '_e2stream._tcp' in domain 'local' 19:43:03.4978 [!W!] service/servicepeer.cpp:23 peer_remove REMOVE Peer osmega 19:43:03.4978 [ ] base/e2avahi.cpp:372 avahi_timeout_update [Avahi] avahi_timeout_update 19:44:14.6510 { } Plugins/Extensions/AutoTimer/AutoPoller.py:32 query [AutoTimer] Auto Poll 19:44:14.6516 { } Plugins/Extensions/AutoTimer/AutoPoller.py:49 query [AutoTimer] Auto Poll Started 19:44:14.6623 { } Components/Task.py:375 jobDone job Components.Task.Job name=AutoTimer #tasks=0 completed with [] in None 19:44:40.0042 { } RecordTimer.py:198 log [TIMER] Skipped free space check, assuming enough free space 19:44:42.6760 [ ] gdi/grc.cpp:193 thread main thread is non-idle! display spinner! 19:44:48.6002 { } Navigation.py:318 recordService recording service: > 19:44:48.6019 [ ] dvb/dvb.cpp:1394 allocateChannel allocate channel.. 0441:0001 19:44:48.6020 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:44:48.6020 [ ] dvb/sec.cpp:110 canTune canTune 1 19:44:48.6021 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:44:48.6021 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:44:48.6022 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:44:48.6022 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:44:48.6022 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:44:48.6023 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:44:48.6023 [ ] dvb/sec.cpp:263 canTune score new 15000 19:44:48.6025 [ ] dvb/sec.cpp:278 canTune final score 15005 19:44:48.6025 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:44:48.6026 [ ] dvb/sec.cpp:110 canTune canTune 2 19:44:48.6026 [ ] dvb/sec.cpp:125 canTune lnb 1 found 19:44:48.6026 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:44:48.6027 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:44:48.6027 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:44:48.6028 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:44:48.6028 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:44:48.6028 [ ] dvb/sec.cpp:263 canTune score new 15000 19:44:48.6029 [ ] dvb/sec.cpp:278 canTune final score 15005 19:44:48.6035 [ ] dvb/frontend.cpp:718 openFrontend m_need_delivery_system_workaround = 0 19:44:48.6036 [ ] dvb/frontend.cpp:720 openFrontend opening frontend 0 19:44:48.6040 [ E ] base/cfile.h:17 CFile error /proc/stb/frontend/0/active_antenna_power [No such file or directory] 19:44:48.6073 [ ] dvb/frontend.cpp:2906 tune tune tuner 0 19:44:48.6075 [ ] dvb/sec.cpp:71 canTune direct_connected 1 19:44:48.6076 [ ] dvb/sec.cpp:110 canTune canTune 1 19:44:48.6076 [ ] dvb/sec.cpp:125 canTune lnb 0 found 19:44:48.6077 [ ] dvb/sec.cpp:135 canTune 1 option(s) at position 192 19:44:48.6077 [ ] dvb/sec.cpp:149 canTune sat 192 found 19:44:48.6078 [ ] dvb/sec.cpp:193 canTune ret1 15000 19:44:48.6078 [ ] dvb/sec.cpp:239 canTune ret5 15000 19:44:48.6078 [ ] dvb/sec.cpp:256 canTune ret 15000, score old 0 19:44:48.6079 [ ] dvb/sec.cpp:263 canTune score new 15000 19:44:48.6079 [ ] dvb/sec.cpp:278 canTune final score 15005 19:44:48.6080 [ ] dvb/sec.cpp:1046 prepare RotorCmd ffffffff, lastRotorCmd ffffffff 19:44:48.6080 [ ] dvb/frontend.cpp:2826 prepare_sat frontend 0 prepare_sat System 0 Freq 12187500 Pol 0 SR 27500000 INV 2 FEC 3 orbpos 192 system 0 modulation 1 pilot 2, rolloff 0, is_id 0, pls_mode 0, pls_code 1 19:44:48.6081 [ ] dvb/frontend.cpp:2832 prepare_sat tuning to 1587 MHz 19:44:48.6082 [ ] dvb/dvb.cpp:1871 frontendStateChanged OURSTATE: tuner 0 tuning 19:44:48.6083 [ ] dvb/pmt.cpp:990 tuneExt allocate Channel: res 0 19:44:48.6085 [ ] dvb_ci/dvbci.cpp:787 addPMTHandler [eDVBCIInterfaces] addPMTHandler 1:0:1:2F08:441:1:C00000:0:0:0: 19:44:48.6086 [ ] dvb/dvb.cpp:1094 allocateDemux allocate demux 19:44:48.6101 [ ] dvb/epgcache.cpp:2568 saveEventToFile [EPGC] saveEventToFile epg event id 92e1 19:44:48.6146 { } Tools/Trashcan.py:130 work [Trashcan] probing folders 19:44:48.7041 [ ] dvb/frontend.cpp:2198 tuneLoopInt [SEC] set static current limiting 19:44:48.7090 [ ] dvb/frontend.cpp:2147 tuneLoopInt [SEC] invalidate current switch params 19:44:48.7095 [ ] dvb/frontend.cpp:1867 tuneLoopInt [SEC] tuner 0 setVoltage 2 19:44:48.7100 [ ] dvb/frontend.cpp:1858 tuneLoopInt [SEC] tuner 0 sleep 10ms 19:44:48.7231 [ ] dvb/frontend.cpp:1904 tuneLoopInt [SEC] tuner 0 setTone 1 19:44:48.7241 [ ] dvb/frontend.cpp:1858 tuneLoopInt [SEC] tuner 0 sleep 10ms 19:44:48.7346 [ ] dvb/frontend.cpp:2157 tuneLoopInt [SEC] update current switch params 19:44:48.7357 [ ] dvb/frontend.cpp:1969 tuneLoopInt [SEC] tuner 0 startTuneTimeout 5000 19:44:48.7370 [ ] dvb/frontend.cpp:1962 tuneLoopInt [SEC] tuner 0 setFrontend: events enabled 19:44:48.7371 [ ] dvb/frontend.cpp:2380 setFrontend setting frontend 0 events: on 19:44:48.7380 [ ] dvb/frontend.cpp:1055 feEvent (0)fe event: status 0, inversion off, m_tuning 1 19:44:48.7381 [ ] dvb/frontend.cpp:1858 tuneLoopInt [SEC] tuner 0 sleep 500ms 19:44:48.8405 [ ] dvb/frontend.cpp:1055 feEvent (0)fe event: status 1f, inversion off, m_tuning 2 19:44:48.8406 [ ] dvb/dvb.cpp:1867 frontendStateChanged OURSTATE: tuner 0 ok 19:44:48.8407 [ ] dvb/dvbtime.cpp:674 DVBChannelStateChanged [eDVBLocalTimerHandler] channel 0x150f638 running 19:44:48.8412 [ ] dvb/epgcache.cpp:680 DVBChannelStateChanged [eEPGCache] channel 0x150f638 running 19:44:48.8418 [ ] dvb/epgcache.cpp:2069 startChannel [EPGC] next update in 57 min 19:44:48.8419 [ ] dvb/dvb.cpp:1473 DVBChannelStateChanged stop release channel timer 19:44:48.8420 [ ] dvb/pmt.cpp:77 channelStateChanged ok ... now we start!! 19:44:48.8420 [ ] service/servicedvbrecord.cpp:36 serviceEvent RECORD service event 5 19:44:48.8426 [ ] dvb/cahandler.cpp:267 registerService [eDVBCAService] new service 1:0:1:2F08:441:1:C00000:0:0:0: 19:44:48.8427 [ ] dvb/cahandler.cpp:285 registerService [eDVBCAService] add demux 0 to slot 0 service 1:0:1:2F08:441:1:C00000:0:0:0: 19:44:48.8435 [ ] service/servicedvbrecord.cpp:36 serviceEvent RECORD service event 6 19:44:48.8436 [ ] service/servicedvbrecord.cpp:41 serviceEvent tuned.. 19:44:49.2332 [ ] dvb/pmt.cpp:202 PATready PATready 19:44:49.2334 [ ] dvb/pmt.cpp:213 PATready PAT TSID: 0x0441 (1089) 19:44:49.2335 [ ] dvb/pmt.cpp:242 PATready use pmtpid 002d for service_id 2f08 19:44:49.2384 [ ] dvb/frontend.cpp:2198 tuneLoopInt [SEC] set dynamic current limiting 19:44:49.4121 [ ] service/servicedvbrecord.cpp:36 serviceEvent RECORD service event 5 19:44:49.4152 [ ] dvb_ci/dvbci.cpp:867 gotPMT [eDVBCIInterfaces] gotPMT 19:44:49.4153 [ ] dvb/cahandler.cpp:624 buildCAPMT [eDVBCAService] don't build/send the same CA PMT twice 19:44:50.0691 [ ] service/servicedvbrecord.cpp:546 gotNewEvent [eDVBServiceRecord] now running: Angelo! (1800 seconds) 19:44:50.5086 [ ] dvb/pmt.cpp:943 SDTScanEvent sdt update done! 19:44:50.9076 [ ] dvb/pmt.cpp:288 AITready AITready 19:44:50.9077 [ ] dvb/pmt.cpp:307 AITready Section Length : 769, Total Section Length : 772 19:44:50.9078 [ ] dvb/pmt.cpp:318 AITready found applicaions ids >> pid : 0, orgid : 26, appid : 600 19:44:50.9079 [ ] dvb/pmt.cpp:282 saveData Save Data Len : [772] 19:44:50.9080 [ ] dvb/pmt.cpp:318 AITready found applicaions ids >> pid : 0, orgid : 26, appid : 626 19:44:50.9081 [ ] dvb/pmt.cpp:318 AITready found applicaions ids >> pid : 0, orgid : 26, appid : 623 19:44:50.9082 [ ] dvb/pmt.cpp:318 AITready found applicaions ids >> pid : 0, orgid : 26, appid : 621 19:44:50.9083 [ ] dvb/pmt.cpp:318 AITready found applicaions ids >> pid : 0, orgid : 26, appid : 622 19:44:50.9085 [ ] dvb/pmt.cpp:318 AITready found applicaions ids >> pid : 0, orgid : 26, appid : 620 19:44:50.9086 [ ] dvb/pmt.cpp:452 AITready Found : control[1], name[Super RTL Startleiste], url[http://hbbtv.superrtl.de/index.html] 19:44:50.9086 [ ] dvb/pmt.cpp:452 AITready Found : control[2], name[Super RTL NOW index 2], url[http://hbbtv.superrtlnow.de/hbbtv2/index.php] 19:44:50.9087 [ ] dvb/pmt.cpp:452 AITready Found : control[2], name[Super RTL Now dev overview], url[http://dev.superrtlnow.de/hbbtv/overview.php] 19:44:50.9088 [ ] dvb/pmt.cpp:452 AITready Found : control[2], name[Super RTL Now overview], url[http://hbbtv.superrtlnow.de/hbbtv/overview.php] 19:44:50.9089 [ ] dvb/pmt.cpp:452 AITready Found : control[2], name[Super RTL Now dev index], url[http://dev.superrtlnow.de/hbbtv/index.php] 19:44:50.9089 [ ] dvb/pmt.cpp:452 AITready Found : control[2], name[Super RTL Now index], url[http://hbbtv.superrtlnow.de/hbbtv/index.php] 19:44:50.9090 [ ] service/servicedvbrecord.cpp:36 serviceEvent RECORD service event 11 19:44:57.3996 { } Tools/Trashcan.py:150 work [Trashcan] found following trashcan's: ['/media/hdd/.Trash', '/media/hdd/movie/.Trash', '/media/usb/.Trash', '/media/usb/movie/.Trash'] 19:44:57.4000 { } Tools/Trashcan.py:153 work [Trashcan] looking in trashcan /media/hdd/.Trash 19:44:57.4158 { } Tools/Trashcan.py:158 work [Trashcan] /media/hdd/.Trash: Size: 0 19:44:57.4165 { } Tools/Trashcan.py:192 work [Trashcan] /media/hdd/.Trash: Size now: 0 19:44:57.4169 { } Tools/Trashcan.py:153 work [Trashcan] looking in trashcan /media/hdd/movie/.Trash 19:44:57.4781 { } Tools/Trashcan.py:158 work [Trashcan] /media/hdd/movie/.Trash: Size: 172778649606 19:44:57.5106 { } Tools/Trashcan.py:192 work [Trashcan] /media/hdd/movie/.Trash: Size now: 172778649606 19:44:57.5110 { } Tools/Trashcan.py:153 work [Trashcan] looking in trashcan /media/usb/.Trash 19:44:57.5126 { } Tools/Trashcan.py:158 work [Trashcan] /media/usb/.Trash: Size: 0 19:44:57.5136 { } Tools/Trashcan.py:192 work [Trashcan] /media/usb/.Trash: Size now: 0 19:44:57.5140 { } Tools/Trashcan.py:153 work [Trashcan] looking in trashcan /media/usb/movie/.Trash 19:44:57.5227 { } Tools/Trashcan.py:158 work [Trashcan] /media/usb/movie/.Trash: Size: 0 19:44:57.5236 { } Tools/Trashcan.py:192 work [Trashcan] /media/usb/movie/.Trash: Size now: 0 19:44:57.5273 { } Components/Task.py:375 jobDone job Components.Task.Job name=Müll löschen #tasks=1 completed with [] in None 19:45:03.7725 [ ] service/servicedvbrecord.cpp:546 gotNewEvent [eDVBServiceRecord] now running: Ritter hoch 3 (1800 seconds) 19:45:08.6140 { } RecordTimer.py:198 log [TIMER] start recording 19:45:08.6168 [ ] service/servicedvbrecord.cpp:263 doRecord Recording to /media/hdd/movie/20170827 1945 - SUPER RTL - Ritter hoch 3.ts... 19:45:08.6185 < > service/servicedvbrecord.cpp:303 doRecord start recording...RECORD: have 1 video stream(s) (00a5), and 1 audio stream(s) (0078) (0042), and the pcr pid is 00a5, and the text pid is 0041 19:45:08.6190 [ ] service/servicedvbrecord.cpp:447 doRecord ADD PID: 0000 19:45:08.6191 [ ] service/servicedvbrecord.cpp:447 doRecord ADD PID: 002d 19:45:08.6191 [ ] service/servicedvbrecord.cpp:447 doRecord ADD PID: 0041 19:45:08.6192 [ ] service/servicedvbrecord.cpp:447 doRecord ADD PID: 0042 19:45:08.6192 [ ] service/servicedvbrecord.cpp:447 doRecord ADD PID: 0043 19:45:08.6192 [ ] service/servicedvbrecord.cpp:447 doRecord ADD PID: 0078 19:45:08.6193 [ ] service/servicedvbrecord.cpp:447 doRecord ADD PID: 00a5 19:45:08.6215 [ ] base/ioprio.cpp:91 setIoPrio setIoPrio realtime level 7 ok 19:45:08.6217 [ ] base/filepush.cpp:372 thread [eFilePushThreadRecorder] THREAD START 19:45:08.6217 [ ] dvb/demux.cpp:903 startPID add PID 45(0x002d) 19:45:08.6219 [ ] dvb/demux.cpp:903 startPID add PID 65(0x0041) 19:45:08.6221 [ ] dvb/demux.cpp:903 startPID add PID 66(0x0042) 19:45:08.6222 [ ] dvb/demux.cpp:903 startPID add PID 67(0x0043) 19:45:08.6224 [ ] dvb/demux.cpp:903 startPID add PID 120(0x0078) 19:45:08.6226 [ ] dvb/demux.cpp:903 startPID add PID 165(0x00a5) 19:45:15.0578 [ ] dvb/dvbtime.cpp:478 updateTime [eDVBLocalTimerHandler] Transponder time is 27.08.2017 19:45:15 19:45:15.0580 [ ] dvb/dvbtime.cpp:491 updateTime [eDVBLocalTimerHandler] diff is 0 19:45:15.0580 [ ] dvb/dvbtime.cpp:494 updateTime [eDVBLocalTimerHandler] diff < 120 .. use Transponder Time 19:45:15.0581 [ ] dvb/dvbtime.cpp:555 updateTime [eDVBLocalTimerHandler] not changed 19:46:00.5216 [ ] base/ebase.cpp:279 processOneEvent poll: unhandled POLLERR/HUP/NVAL for fd 61(16) 19:46:47.9013 [ ] driver/rcinput.cpp:38 handleCode 1 74 1 19:46:47.9024 { } Components/ActionMap.py:46 action action -> StandbyActions power_make 19:46:47.9029 { } Screens/Standby.py:31 Power leave standby 19:46:48.1808 { E } Screens/Standby.py:48 Power /usr/scripts/standby.sh not found 19:46:48.1846 [ ] driver/rcinput.cpp:38 handleCode 0 74 1 19:46:48.1914 { } Navigation.py:244 playService playing 1:0:1:2F08:441:1:C00000:0:0:0: 19:46:48.2118 { } Screens/InfoBarGenerics.py:5546 __serviceStarted new service started! trying to download cuts! 19:46:48.2126 [ ] dvb/dvb.cpp:1380 allocateChannel use cached_channel, frontend=0 19:46:48.2127 [ ] dvb/pmt.cpp:990 tuneExt allocate Channel: res 0 19:46:48.2128 [ ] dvb_ci/dvbci.cpp:787 addPMTHandler [eDVBCIInterfaces] addPMTHandler 1:0:1:2F08:441:1:C00000:0:0:0: 19:46:48.2129 [ ] dvb/pmt.cpp:77 channelStateChanged ok ... now we start!! 19:46:48.2130 [ ] service/servicedvb.cpp:1199 serviceEvent eventNewProgramInfo 0 0 19:46:48.2130 < > service/servicedvb.cpp:2834 updateDecoder have 1 video stream(s) (00a5), and 1 audio stream(s) (0078), and the pcr pid is 00a5, and the text pid is 0041 19:46:48.2132 [ ] dvb/dvb.cpp:1094 allocateDemux allocate demux 19:46:48.2191 [ ] service/servicedvb.cpp:3518 setAC3Delay Setting audio delay: setAC3Delay, 0 + 0 19:46:48.2196 [ ] service/servicedvb.cpp:3529 setPCMDelay Setting audio delay: setPCMDelay, 0 + 0 19:46:48.2198 [ ] dvb/decoder.cpp:829 setState decoder state: play, vpid=165, apid=120 19:46:48.2199 < > dvb/decoder.cpp:702 startPid DMX_SET_PES_FILTER(0xa5) - pcr - ok 19:46:48.2199 < > dvb/decoder.cpp:710 startPid DEMUX_START - pcr - ok 19:46:48.2201 < > dvb/decoder.cpp:84 startPid DMX_SET_PES_FILTER(0x78) - audio - ok 19:46:48.2202 < > dvb/decoder.cpp:92 startPid DEMUX_START - audio - ok 19:46:48.2203 < > dvb/decoder.cpp:138 startPid AUDIO_SET_BYPASS(1) - ok 19:46:48.2204 < > dvb/decoder.cpp:191 freeze AUDIO_PAUSE - ok 19:46:48.2205 < > dvb/decoder.cpp:146 startPid AUDIO_PLAY - ok 19:46:48.3185 [ ] dvb/decoder.cpp:267 eDVBVideo Video Device: /dev/dvb/adapter0/video0 19:46:48.3188 [ ] dvb/decoder.cpp:274 eDVBVideo demux device: /dev/dvb/adapter0/demux0 19:46:48.3190 < > dvb/decoder.cpp:354 startPid VIDEO_SET_STREAMTYPE 0 - ok 19:46:48.3192 < > dvb/decoder.cpp:389 startPid DMX_SET_PES_FILTER(0xa5) - video - ok 19:46:48.3193 < > dvb/decoder.cpp:397 startPid DEMUX_START - video - ok 19:46:48.3196 < > dvb/decoder.cpp:458 freeze VIDEO_FREEZE - ok 19:46:48.3197 < > dvb/decoder.cpp:412 startPid VIDEO_PLAY - ok 19:46:48.3251 < > dvb/decoder.cpp:779 startPid DMX_SET_PES_FILTER(0x41) - ttx - ok 19:46:48.3251 < > dvb/decoder.cpp:787 startPid DEMUX_START - ttx - ok 19:46:48.3297 < > dvb/decoder.cpp:482 setSlowMotion VIDEO_SLOWMOTION(0) - ok 19:46:48.3298 < > dvb/decoder.cpp:497 setFastForward VIDEO_FAST_FORWARD(0) - ok 19:46:48.3299 < > dvb/decoder.cpp:470 unfreeze VIDEO_CONTINUE - ok 19:46:48.3300 < > dvb/decoder.cpp:203 unfreeze AUDIO_CONTINUE - ok 19:46:48.3301 [ ] dvb/teletext.cpp:640 setPageAndMagazine disable teletext subtitles page ffffffffffffffff (und) 19:46:48.3411 { } Plugins/Extensions/Volume_adjust/plugin.py:469 __evUpdatedInfo [Volume Adjust] Update Info 19:46:48.3415 { } Plugins/Extensions/Volume_adjust/plugin.py:473 __evUpdatedInfo [Volume Adjust] Volume = 10 19:46:48.3417 { } Plugins/Extensions/Volume_adjust/plugin.py:447 loadXML [Volume Adjust] load xml... 19:46:48.3500 [ ] dvb/cahandler.cpp:285 registerService [eDVBCAService] add demux 0 to slot 1 service 1:0:1:2F08:441:1:C00000:0:0:0: 19:46:48.3931 < > driver/hdmi_cec.cpp:526 sendMessage eHdmiCEC: send message 04 19:46:48.6868 < > driver/hdmi_cec.cpp:526 sendMessage eHdmiCEC: send message 82 14 00 19:46:48.8022 < > driver/hdmi_cec.cpp:526 sendMessage eHdmiCEC: send message 8E 00 19:46:49.1103 [ ] driver/rcinput.cpp:38 handleCode 1 74 1 19:46:49.1121 { } Components/ActionMap.py:46 action action -> GlobalActions power_down 19:46:49.1126 [ ] driver/rcinput.cpp:38 handleCode 0 74 1 19:46:49.1276 { } Plugins/Extensions/AtileHD/plugin.py:51 menu madieatv2 19:46:49.1349 { } Plugins/Extensions/AtileHD/plugin.py:51 menu madieatv2 19:46:49.1376 { } Components/ActionMap.py:46 action action -> GlobalActions power_up 19:46:49.1755 { } Screens/Standby.py:96 __init__ enter standby 19:46:49.1769 [ ] dvb/cahandler.cpp:333 unregisterService [eDVBCAService] free slot 0 demux 0 for service 1:0:1:2F08:441:1:C00000:0:0:0: 19:46:49.1770 [ ] dvb/cahandler.cpp:367 unregisterService [eDVBCAService] can not send updated demux info 19:46:49.1864 [ ] dvb/decoder.cpp:829 setState decoder state: play, vpid=-1, apid=-1 19:46:49.1865 < > dvb/decoder.cpp:723 stop DEMUX_STOP - pcr - ok 19:46:49.1867 < > dvb/decoder.cpp:425 stop DEMUX_STOP - video - ok 19:46:49.1868 < > dvb/decoder.cpp:434 stop VIDEO_STOP - ok 19:46:49.1879 < > dvb/decoder.cpp:159 stop AUDIO_STOP - ok 19:46:49.2003 < > dvb/decoder.cpp:167 stop DEMUX_STOP - audio - ok 19:46:49.2005 < > dvb/decoder.cpp:203 unfreeze AUDIO_CONTINUE - ok 19:46:49.2006 < > dvb/decoder.cpp:800 stop DEMUX_STOP - ttx - ok 19:46:49.3202 { } skin.py:1311 readSkin [SKIN] processing screen Standby: 19:46:49.3247 { } skin.py:1311 readSkin [SKIN] processing screen StandbySummary: 19:46:49.3346 < > driver/hdmi_cec.cpp:526 sendMessage eHdmiCEC: send message 36 19:46:49.6425 { E } Components/Timeshift.py:539 autostartAutorecordTimeshift [TIMESHIFT] - tune lock failed, so could not start. 19:46:52.7393 [ ] driver/rcinput.cpp:38 handleCode 1 74 1 19:46:52.7403 { } Components/ActionMap.py:46 action action -> StandbyActions power_make 19:46:52.7408 { } Screens/Standby.py:31 Power leave standby 19:46:53.0205 { E } Screens/Standby.py:48 Power /usr/scripts/standby.sh not found 19:46:53.0241 [ ] driver/rcinput.cpp:38 handleCode 0 74 1 19:46:53.0259 { } Navigation.py:244 playService playing 1:0:1:2F08:441:1:C00000:0:0:0: 19:46:53.0470 { } Screens/InfoBarGenerics.py:5546 __serviceStarted new service started! trying to download cuts! 19:46:53.0479 [ ] dvb/dvb.cpp:1380 allocateChannel use cached_channel, frontend=0 19:46:53.0480 [ ] dvb/pmt.cpp:990 tuneExt allocate Channel: res 0 19:46:53.0481 [ ] dvb_ci/dvbci.cpp:787 addPMTHandler [eDVBCIInterfaces] addPMTHandler 1:0:1:2F08:441:1:C00000:0:0:0: 19:46:53.0482 [ ] dvb/pmt.cpp:77 channelStateChanged ok ... now we start!! 19:46:53.0483 [ ] service/servicedvb.cpp:1199 serviceEvent eventNewProgramInfo 0 0 19:46:53.0484 < > service/servicedvb.cpp:2834 updateDecoder have 1 video stream(s) (00a5), and 1 audio stream(s) (0078), and the pcr pid is 00a5, and the text pid is 0041 19:46:53.0545 [ ] service/servicedvb.cpp:3518 setAC3Delay Setting audio delay: setAC3Delay, 0 + 0 19:46:53.0549 [ ] service/servicedvb.cpp:3529 setPCMDelay Setting audio delay: setPCMDelay, 0 + 0 19:46:53.0550 [ ] dvb/decoder.cpp:829 setState decoder state: play, vpid=165, apid=120 19:46:53.0551 < > dvb/decoder.cpp:702 startPid DMX_SET_PES_FILTER(0xa5) - pcr - ok 19:46:53.0552 < > dvb/decoder.cpp:710 startPid DEMUX_START - pcr - ok 19:46:53.0554 < > dvb/decoder.cpp:84 startPid DMX_SET_PES_FILTER(0x78) - audio - ok 19:46:53.0555 < > dvb/decoder.cpp:92 startPid DEMUX_START - audio - ok 19:46:53.0556 < > dvb/decoder.cpp:138 startPid AUDIO_SET_BYPASS(1) - ok 19:46:53.0557 < > dvb/decoder.cpp:191 freeze AUDIO_PAUSE - ok 19:46:53.0557 < > dvb/decoder.cpp:146 startPid AUDIO_PLAY - ok 19:46:53.0642 [ ] dvb/decoder.cpp:267 eDVBVideo Video Device: /dev/dvb/adapter0/video0 19:46:53.0644 [ ] dvb/decoder.cpp:274 eDVBVideo demux device: /dev/dvb/adapter0/demux0 19:46:53.0645 < > dvb/decoder.cpp:354 startPid VIDEO_SET_STREAMTYPE 0 - ok 19:46:53.0647 < > dvb/decoder.cpp:389 startPid DMX_SET_PES_FILTER(0xa5) - video - ok 19:46:53.0647 < > dvb/decoder.cpp:397 startPid DEMUX_START - video - ok 19:46:53.0649 < > dvb/decoder.cpp:458 freeze VIDEO_FREEZE - ok 19:46:53.0649 < > dvb/decoder.cpp:412 startPid VIDEO_PLAY - ok 19:46:53.0704 < > dvb/decoder.cpp:779 startPid DMX_SET_PES_FILTER(0x41) - ttx - ok 19:46:53.0705 < > dvb/decoder.cpp:787 startPid DEMUX_START - ttx - ok 19:46:53.0749 < > dvb/decoder.cpp:482 setSlowMotion VIDEO_SLOWMOTION(0) - ok 19:46:53.0751 < > dvb/decoder.cpp:497 setFastForward VIDEO_FAST_FORWARD(0) - ok 19:46:53.0752 < > dvb/decoder.cpp:470 unfreeze VIDEO_CONTINUE - ok 19:46:53.0753 < > dvb/decoder.cpp:203 unfreeze AUDIO_CONTINUE - ok 19:46:53.0754 [ ] dvb/teletext.cpp:640 setPageAndMagazine disable teletext subtitles page ffffffffffffffff (und) 19:46:53.0857 { } Plugins/Extensions/Volume_adjust/plugin.py:469 __evUpdatedInfo [Volume Adjust] Update Info 19:46:53.0861 { } Plugins/Extensions/Volume_adjust/plugin.py:473 __evUpdatedInfo [Volume Adjust] Volume = 10 19:46:53.0865 { } Plugins/Extensions/Volume_adjust/plugin.py:447 loadXML [Volume Adjust] load xml... 19:46:53.0937 [ ] dvb/cahandler.cpp:285 registerService [eDVBCAService] add demux 0 to slot 0 service 1:0:1:2F08:441:1:C00000:0:0:0: 19:46:53.0938 [ ] dvb/cahandler.cpp:624 buildCAPMT [eDVBCAService] don't build/send the same CA PMT twice 19:46:53.1360 < > driver/hdmi_cec.cpp:526 sendMessage eHdmiCEC: send message 04 19:46:53.4298 < > driver/hdmi_cec.cpp:526 sendMessage eHdmiCEC: send message 82 14 00 19:46:53.5452 < > driver/hdmi_cec.cpp:526 sendMessage eHdmiCEC: send message 8E 00 19:46:53.8521 < > dvb/decoder.cpp:540 video_event VIDEO_GET_EVENT - ok 19:46:53.8531 < > dvb/decoder.cpp:540 video_event VIDEO_GET_EVENT - ok 19:46:53.8626 < > dvb/decoder.cpp:540 video_event VIDEO_GET_EVENT - ok 19:46:53.8645 [ ] dvb/pmt.cpp:202 PATready PATready 19:46:53.8646 [ ] dvb/pmt.cpp:213 PATready PAT TSID: 0x0441 (1089) 19:46:53.8647 [ ] dvb/pmt.cpp:242 PATready use pmtpid 002d for service_id 2f08 19:46:54.2667 { } Components/AVSwitch.py:322 setWss [VideoMode] setting wss: auto 19:46:54.2674 { } Components/AVSwitch.py:328 setPolicy43 [VideoMode] setting policy: auto 19:46:54.2686 { } Components/AVSwitch.py:345 setPolicy169 [VideoMode] setting policy2: scale 19:46:54.3367 [ ] service/servicedvb.cpp:1199 serviceEvent eventNewProgramInfo 0 0 19:46:54.3397 < > service/servicedvb.cpp:2834 updateDecoder have 1 video stream(s) (00a5), and 1 audio stream(s) (0078), and the pcr pid is 00a5, and the text pid is 0041 19:46:54.3402 [ ] service/servicedvb.cpp:3518 setAC3Delay Setting audio delay: setAC3Delay, 0 + 0 19:46:54.3407 [ ] service/servicedvb.cpp:3529 setPCMDelay Setting audio delay: setPCMDelay, 0 + 0 19:46:54.3408 [ ] dvb/decoder.cpp:829 setState decoder state: play, vpid=165, apid=120 19:46:54.3544 { } Plugins/Extensions/Volume_adjust/plugin.py:469 __evUpdatedInfo [Volume Adjust] Update Info 19:46:54.3548 { } Plugins/Extensions/Volume_adjust/plugin.py:473 __evUpdatedInfo [Volume Adjust] Volume = 10 19:46:54.3550 { } Plugins/Extensions/Volume_adjust/plugin.py:447 loadXML [Volume Adjust] load xml... 19:46:54.3624 [ ] dvb_ci/dvbci.cpp:867 gotPMT [eDVBCIInterfaces] gotPMT 19:46:54.3625 [ ] dvb/cahandler.cpp:624 buildCAPMT [eDVBCAService] don't build/send the same CA PMT twice 19:46:56.8416 < > driver/hdmi_cec.cpp:526 sendMessage eHdmiCEC: send message 04 19:46:57.1358 < > driver/hdmi_cec.cpp:526 sendMessage eHdmiCEC: send message 82 14 00 19:46:57.2512 < > driver/hdmi_cec.cpp:526 sendMessage eHdmiCEC: send message 8E 00 19:47:06.3446 [ ] dvb/pmt.cpp:288 AITready AITready 19:47:25.0534 [ ] driver/rcinput.cpp:38 handleCode 1 74 1 19:47:25.0546 { } Components/ActionMap.py:46 action action -> GlobalActions power_down 19:47:25.2045 [ ] driver/rcinput.cpp:38 handleCode 0 74 1 19:47:25.2170 { } Plugins/Extensions/AtileHD/plugin.py:51 menu madieatv2 19:47:25.2246 { } Plugins/Extensions/AtileHD/plugin.py:51 menu madieatv2 19:47:25.2268 { } Components/ActionMap.py:46 action action -> GlobalActions power_up 19:47:25.2637 { } Screens/Standby.py:96 __init__ enter standby 19:47:25.2651 [ ] dvb/cahandler.cpp:333 unregisterService [eDVBCAService] free slot 0 demux 0 for service 1:0:1:2F08:441:1:C00000:0:0:0: 19:47:25.2653 [ ] dvb/cahandler.cpp:624 buildCAPMT [eDVBCAService] don't build/send the same CA PMT twice 19:47:25.2756 [ ] dvb/decoder.cpp:829 setState decoder state: play, vpid=-1, apid=-1 19:47:25.2757 < > dvb/decoder.cpp:723 stop DEMUX_STOP - pcr - ok 19:47:25.2758 < > dvb/decoder.cpp:425 stop DEMUX_STOP - video - ok 19:47:25.2759 < > dvb/decoder.cpp:434 stop VIDEO_STOP - ok 19:47:25.2769 < > dvb/decoder.cpp:159 stop AUDIO_STOP - ok 19:47:25.2863 < > dvb/decoder.cpp:167 stop DEMUX_STOP - audio - ok 19:47:25.2865 < > dvb/decoder.cpp:203 unfreeze AUDIO_CONTINUE - ok 19:47:25.2866 < > dvb/decoder.cpp:800 stop DEMUX_STOP - ttx - ok 19:47:25.4000 { } skin.py:1311 readSkin [SKIN] processing screen Standby: 19:47:25.4044 { } skin.py:1311 readSkin [SKIN] processing screen StandbySummary: 19:47:25.4141 < > driver/hdmi_cec.cpp:526 sendMessage eHdmiCEC: send message 36